nextpnr-xilinx-install: generate database for XC7A200T
authorCesar Strauss <cestrauss@gmail.com>
Mon, 10 Jul 2023 18:00:24 +0000 (18:00 +0000)
committerCesar Strauss <cestrauss@gmail.com>
Mon, 10 Jul 2023 18:00:24 +0000 (18:00 +0000)
Enables bit file support for the FPGA in the Nexys Video board.

nextpnr-xilinx-install

index d1cfd15ecdee2dd54517f9a9c9cba15ebc883c96..d9469f98d302d77d5b132c27be62832265d40aaf 100755 (executable)
@@ -82,12 +82,23 @@ cmake -DARCH=xilinx -DBUILD_GUI=OFF \
        -DCMAKE_INSTALL_PREFIX=/usr/local/nextpnr-xilinx .
 make -j$(nproc)
 make install
+
+# Generate database for fabric: XC7A100T
 ln -s xc7a100tcsg324-1 xilinx/external/prjxray-db/artix7/xc7a100t
 python3 xilinx/python/bbaexport.py --device xc7a100tcsg324-1 \
  --bba xilinx/xc7a100t.bba
 ./bbasm --l xilinx/xc7a100t.bba xilinx/xc7a100t.bin
+
+# Generate database for fabric: XC7A200T
+ln -s xc7a200tsbg484-1 xilinx/external/prjxray-db/artix7/xc7a200t
+python3 xilinx/python/bbaexport.py --device xc7a200tsbg484-1 \
+ --bba xilinx/xc7a200t.bba
+./bbasm --l xilinx/xc7a200t.bba xilinx/xc7a200t.bin
+
 install -d -m 0755 /usr/local/nextpnr-xilinx/share/xilinx
 install -m 0755 xilinx/xc7a100t.bin /usr/local/nextpnr-xilinx/share/xilinx
+install -m 0755 xilinx/xc7a200t.bin /usr/local/nextpnr-xilinx/share/xilinx
+
 export XRAY_DIR=/usr/local/nextpnr-xilinx
 cd ..