gram.core.multiplexer: Fix regression introduced in 7d8339c
authorJean THOMAS <git0@pub.jeanthomas.me>
Thu, 6 Aug 2020 15:22:49 +0000 (17:22 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Thu, 6 Aug 2020 15:22:49 +0000 (17:22 +0200)
commit3e42e3efde7ab6c329e2f1f7f2f42a5f8f64e418
treeec9715ab75a4f34499230ae0f3caa92eb949caa9
parent3944f60b771a2bebf12b903198485d7f0546bc1f
gram.core.multiplexer: Fix regression introduced in 7d8339c
gram/core/multiplexer.py