gram.core.multiplexer: Fix regression introduced in 7d8339c
authorJean THOMAS <git0@pub.jeanthomas.me>
Thu, 6 Aug 2020 15:22:49 +0000 (17:22 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Thu, 6 Aug 2020 15:22:49 +0000 (17:22 +0200)
gram/core/multiplexer.py

index 3a01278250466b18296e6d32c8f11ce84099d8f6..4efdd178d7c7246ea9e0d04619182cdd355bf09a 100644 (file)
@@ -367,6 +367,8 @@ class Multiplexer(Elaboratable):
                         m.d.comb += steerer.sel[i].eq(STEER_REQ)
                     elif i == settings.phy.rdcmdphase:
                         m.d.comb += steerer.sel[i].eq(STEER_CMD)
+                    else:
+                        m.d.comb += steerer.sel[i].eq(STEER_NOP)
 
                 with m.If(settings.phy.nphases == 1):
                     m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed))
@@ -396,6 +398,8 @@ class Multiplexer(Elaboratable):
                         m.d.comb += steerer.sel[i].eq(STEER_REQ)
                     elif i == settings.phy.wrcmdphase:
                         m.d.comb += steerer.sel[i].eq(STEER_CMD)
+                    else:
+                        m.d.comb += steerer.sel[i].eq(STEER_NOP)
 
                 with m.If(settings.phy.nphases == 1):
                     m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed))