gram.core.bankmachine: Add comment for address slicers
authorJean THOMAS <git0@pub.jeanthomas.me>
Fri, 7 Aug 2020 15:27:51 +0000 (17:27 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Fri, 7 Aug 2020 15:27:51 +0000 (17:27 +0200)
gram/core/bankmachine.py

index 520cb2122114be3d3f515f8a894414270285b619..a1839e92e935fd41dae43c57c8a889b089dcb0cc 100644 (file)
@@ -118,6 +118,7 @@ class BankMachine(Elaboratable):
             self.req.lock.eq(cmd_buffer_lookahead.source.valid | cmd_buffer.source.valid),
         ]
 
+        # Address slicers
         m.submodules.lookahead_slicer = lookahead_slicer = _AddressSlicer(len(cmd_buffer_lookahead.source.addr),
             self.settings.geom.colbits, self._address_align)
         m.submodules.current_slicer = current_slicer = _AddressSlicer(len(cmd_buffer.source.addr),