remove unneeded imports
[ieee754fpu.git] / src / add / fpcommon / denorm.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal
6 from nmigen.cli import main, verilog
7 from math import log
8
9 from fpbase import FPNumIn, FPNumOut, FPNumBase
10 from fpbase import FPState
11
12
13 class FPSCData:
14
15 def __init__(self, width, id_wid):
16 self.a = FPNumBase(width, True)
17 self.b = FPNumBase(width, True)
18 self.z = FPNumOut(width, False)
19 self.oz = Signal(width, reset_less=True)
20 self.out_do_z = Signal(reset_less=True)
21 self.mid = Signal(id_wid, reset_less=True)
22
23 def eq(self, i):
24 return [self.z.eq(i.z), self.out_do_z.eq(i.out_do_z), self.oz.eq(i.oz),
25 self.a.eq(i.a), self.b.eq(i.b), self.mid.eq(i.mid)]
26
27
28 class FPAddDeNormMod(FPState):
29
30 def __init__(self, width, id_wid):
31 self.width = width
32 self.id_wid = id_wid
33 self.i = self.ispec()
34 self.o = self.ospec()
35
36 def ispec(self):
37 return FPSCData(self.width, self.id_wid)
38
39 def ospec(self):
40 return FPSCData(self.width, self.id_wid)
41
42 def process(self, i):
43 return self.o
44
45 def setup(self, m, i):
46 """ links module to inputs and outputs
47 """
48 m.submodules.denormalise = self
49 m.d.comb += self.i.eq(i)
50
51 def elaborate(self, platform):
52 m = Module()
53 m.submodules.denorm_in_a = self.i.a
54 m.submodules.denorm_in_b = self.i.b
55 m.submodules.denorm_out_a = self.o.a
56 m.submodules.denorm_out_b = self.o.b
57
58 with m.If(~self.i.out_do_z):
59 # XXX hmmm, don't like repeating identical code
60 m.d.comb += self.o.a.eq(self.i.a)
61 with m.If(self.i.a.exp_n127):
62 m.d.comb += self.o.a.e.eq(self.i.a.N126) # limit a exponent
63 with m.Else():
64 m.d.comb += self.o.a.m[-1].eq(1) # set top mantissa bit
65
66 m.d.comb += self.o.b.eq(self.i.b)
67 with m.If(self.i.b.exp_n127):
68 m.d.comb += self.o.b.e.eq(self.i.b.N126) # limit a exponent
69 with m.Else():
70 m.d.comb += self.o.b.m[-1].eq(1) # set top mantissa bit
71
72 m.d.comb += self.o.mid.eq(self.i.mid)
73 m.d.comb += self.o.z.eq(self.i.z)
74 m.d.comb += self.o.out_do_z.eq(self.i.out_do_z)
75 m.d.comb += self.o.oz.eq(self.i.oz)
76
77 return m
78
79
80 class FPAddDeNorm(FPState):
81
82 def __init__(self, width, id_wid):
83 FPState.__init__(self, "denormalise")
84 self.mod = FPAddDeNormMod(width)
85 self.out_a = FPNumBase(width)
86 self.out_b = FPNumBase(width)
87
88 def setup(self, m, i):
89 """ links module to inputs and outputs
90 """
91 self.mod.setup(m, i)
92
93 m.d.sync += self.out_a.eq(self.mod.out_a)
94 m.d.sync += self.out_b.eq(self.mod.out_b)
95
96 def action(self, m):
97 # Denormalised Number checks
98 m.next = "align"
99
100