update comments
[ieee754fpu.git] / src / add / fsqrt.py
1 from sfpy import Float32
2
3
4 # XXX DO NOT USE, fails on num=65536. wark-wark...
5 def sqrtsimple(num):
6 res = 0
7 bit = 1
8
9 while (bit < num):
10 bit <<= 2
11
12 while (bit != 0):
13 if (num >= res + bit):
14 num -= res + bit
15 res = (res >> 1) + bit
16 else:
17 res >>= 1
18 bit >>= 2
19
20 return res
21
22
23 def sqrt(num):
24 D = num # D is input (from num)
25 Q = 0 # quotient
26 R = 0 # remainder
27 for i in range(64, -1, -1): # negative ranges are weird...
28
29 R = (R<<2)|((D>>(i+i))&3)
30
31 if R >= 0:
32 R -= ((Q<<2)|1) # -Q01
33 else:
34 R += ((Q<<2)|3) # +Q11
35
36 Q <<= 1
37 if R >= 0:
38 Q |= 1 # new Q
39
40 if R < 0:
41 R = R + ((Q<<1)|1)
42
43 return Q, R
44
45
46 # grabbed these from unit_test_single (convenience, this is just experimenting)
47
48 def get_mantissa(x):
49 return 0x7fffff & x
50
51 def get_exponent(x):
52 return ((x & 0x7f800000) >> 23) - 127
53
54 def set_exponent(x, e):
55 return (x & ~0x7f800000) | ((e+127) << 23)
56
57 def get_sign(x):
58 return ((x & 0x80000000) >> 31)
59
60 # convert FP32 to s/e/m
61 def create_fp32(s, e, m):
62 """ receive sign, exponent, mantissa, return FP32 """
63 return set_exponent((s << 31) | get_mantissa(m))
64
65 # convert s/e/m to FP32
66 def decode_fp32(x):
67 """ receive FP32, return sign, exponent, mantissa """
68 return get_sign(x), get_exponent(x), get_mantissa(x)
69
70
71 # main function, takes mantissa and exponent as separate arguments
72 # returns a tuple, sqrt'd mantissa, sqrt'd exponent
73
74 def main(mantissa, exponent):
75 if exponent & 1 != 0:
76 # shift mantissa up, subtract 1 from exp to compensate
77 mantissa <<= 1
78 exponent -= 1
79 m, r = sqrt(mantissa)
80 return m, r, exponent >> 1
81
82
83 #normalization function
84 def normalise(s, m, e, lowbits):
85 if (lowbits >= 2):
86 m += 1
87 if get_mantissa(m) == ((1<<24)-1):
88 e += 1
89 return s, m, e
90
91
92 def fsqrt_test(x):
93
94 xbits = x.bits
95 print ("x", x, type(x))
96 sq_test = x.sqrt()
97 print ("sqrt", sq_test)
98
99 print (xbits, type(xbits))
100 s, e, m = decode_fp32(xbits)
101 print("x decode", s, e, m, hex(m))
102
103 m |= 1<<23 # set top bit (the missing "1" from mantissa)
104 m <<= 27
105
106 sm, sr, se = main(m, e)
107 lowbits = sm & 0x3
108 sm >>= 2
109 sm = get_mantissa(sm)
110 #sm += 2
111
112 s, sm, se = normalise(s, sm, se, lowbits)
113
114 print("our sqrt", s, se, sm, hex(sm), bin(sm), "lowbits", lowbits,
115 "rem", hex(sr))
116 if lowbits >= 2:
117 print ("probably needs rounding (+1 on mantissa)")
118
119 sq_xbits = sq_test.bits
120 s, e, m = decode_fp32(sq_xbits)
121 print ("sf32 sqrt", s, e, m, hex(m), bin(m))
122 print ()
123
124 if __name__ == '__main__':
125
126 # quick test up to 1000 of two sqrt functions
127 for Q in range(1, int(1e4)):
128 print(Q, sqrt(Q), sqrtsimple(Q), int(Q**0.5))
129 assert int(Q**0.5) == sqrtsimple(Q), "Q sqrtsimpl fail %d" % Q
130 assert int(Q**0.5) == sqrt(Q)[0], "Q sqrt fail %d" % Q
131
132 # quick mantissa/exponent demo
133 for e in range(26):
134 for m in range(26):
135 ms, mr, es = main(m, e)
136 print("m:%d e:%d sqrt: m:%d-%d e:%d" % (m, e, ms, mr, es))
137
138 x = Float32(1234.123456789)
139 fsqrt_test(x)
140 x = Float32(32.1)
141 fsqrt_test(x)
142 x = Float32(16.0)
143 fsqrt_test(x)
144 x = Float32(8.0)
145 fsqrt_test(x)
146 x = Float32(8.5)
147 fsqrt_test(x)
148 x = Float32(3.14159265358979323)
149 fsqrt_test(x)
150 x = Float32(12.99392923123123)
151 fsqrt_test(x)
152 x = Float32(0.123456)
153 fsqrt_test(x)
154
155
156
157
158 """
159
160 Notes:
161 https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf
162
163 //This is the main code of integer sqrt function found here:http://verilogcodes.blogspot.com/2017/11/a-verilog-function-for-finding-square-root.html
164 //
165
166 module testbench;
167
168 reg [15:0] sqr;
169
170 //Verilog function to find square root of a 32 bit number.
171 //The output is 16 bit.
172 function [15:0] sqrt;
173 input [31:0] num; //declare input
174 //intermediate signals.
175 reg [31:0] a;
176 reg [15:0] q;
177 reg [17:0] left,right,r;
178 integer i;
179 begin
180 //initialize all the variables.
181 a = num;
182 q = 0;
183 i = 0;
184 left = 0; //input to adder/sub
185 right = 0; //input to adder/sub
186 r = 0; //remainder
187 //run the calculations for 16 iterations.
188 for(i=0;i<16;i=i+1) begin
189 right = {q,r[17],1'b1};
190 left = {r[15:0],a[31:30]};
191 a = {a[29:0],2'b00}; //left shift by 2 bits.
192 if (r[17] == 1) //add if r is negative
193 r = left + right;
194 else //subtract if r is positive
195 r = left - right;
196 q = {q[14:0],!r[17]};
197 end
198 sqrt = q; //final assignment of output.
199 end
200 endfunction //end of Function
201
202
203 c version (from paper linked from URL)
204
205 unsigned squart(D, r) /*Non-Restoring sqrt*/
206 unsigned D; /*D:32-bit unsigned integer to be square rooted */
207 int *r;
208 {
209 unsigned Q = 0; /*Q:16-bit unsigned integer (root)*/
210 int R = 0; /*R:17-bit integer (remainder)*/
211 int i;
212 for (i = 15;i>=0;i--) /*for each root bit*/
213 {
214 if (R>=0)
215 { /*new remainder:*/
216 R = R<<2)|((D>>(i+i))&3);
217 R = R-((Q<<2)|1); /*-Q01*/
218 }
219 else
220 { /*new remainder:*/
221 R = R<<2)|((D>>(i+i))&3);
222 R = R+((Q<<2)|3); /*+Q11*/
223 }
224 if (R>=0) Q = Q<<1)|1; /*new Q:*/
225 else Q = Q<<1)|0; /*new Q:*/
226 }
227
228 /*remainder adjusting*/
229 if (R<0) R = R+((Q<<1)|1);
230 *r = R; /*return remainder*/
231 return(Q); /*return root*/
232 }
233
234 From wikipedia page:
235
236 short isqrt(short num) {
237 short res = 0;
238 short bit = 1 << 14; // The second-to-top bit is set: 1 << 30 for 32 bits
239
240 // "bit" starts at the highest power of four <= the argument.
241 while (bit > num)
242 bit >>= 2;
243
244 while (bit != 0) {
245 if (num >= res + bit) {
246 num -= res + bit;
247 res = (res >> 1) + bit;
248 }
249 else
250 res >>= 1;
251 bit >>= 2;
252 }
253 return res;
254 }
255
256 """