c88aea3991f119b29a9415396292ddf64d626773
[ieee754fpu.git] / src / add / fsqrt.py
1 from sfpy import Float32
2
3
4 # XXX DO NOT USE, fails on num=65536. wark-wark...
5 def sqrtsimple(num):
6 res = 0
7 bit = 1
8
9 while (bit < num):
10 bit <<= 2
11
12 while (bit != 0):
13 if (num >= res + bit):
14 num -= res + bit
15 res = (res >> 1) + bit
16 else:
17 res >>= 1
18 bit >>= 2
19
20 return res
21
22
23 def sqrt(num):
24 D = num # D is input (from num)
25 Q = 0
26 R = 0
27 r = 0 # remainder
28 for i in range(64, -1, -1): # negative ranges are weird...
29
30 if (R>=0):
31
32 R = (R<<2)|((D>>(i+i))&3)
33 R = R-((Q<<2)|1) #/*-Q01*/
34
35 else:
36
37 R = (R<<2)|((D>>(i+i))&3)
38 R = R+((Q<<2)|3) #/*+Q11*/
39
40 if (R>=0):
41 Q = (Q<<1)|1 #/*new Q:*/
42 else:
43 Q = (Q<<1)|0 #/*new Q:*/
44
45
46 if (R<0):
47 R = R+((Q<<1)|1)
48 r = R
49 return Q, r
50
51
52 # grabbed these from unit_test_single (convenience, this is just experimenting)
53
54 def get_mantissa(x):
55 return 0x7fffff & x
56
57 def get_exponent(x):
58 return ((x & 0x7f800000) >> 23) - 127
59
60 def set_exponent(x, e):
61 return (x & ~0x7f800000) | ((e+127) << 23)
62
63 def get_sign(x):
64 return ((x & 0x80000000) >> 31)
65
66 # convert FP32 to s/e/m
67 def create_fp32(s, e, m):
68 """ receive sign, exponent, mantissa, return FP32 """
69 return set_exponent((s << 31) | get_mantissa(m))
70
71 # convert s/e/m to FP32
72 def decode_fp32(x):
73 """ receive FP32, return sign, exponent, mantissa """
74 return get_sign(x), get_exponent(x), get_mantissa(x)
75
76
77 # main function, takes mantissa and exponent as separate arguments
78 # returns a tuple, sqrt'd mantissa, sqrt'd exponent
79
80 def main(mantissa, exponent):
81 if exponent & 1 != 0:
82 # shift mantissa up, subtract 1 from exp to compensate
83 mantissa <<= 1
84 exponent -= 1
85 m, r = sqrt(mantissa)
86 return m, r, exponent >> 1
87
88
89 def fsqrt_test(x):
90
91 xbits = x.bits
92 print ("x", x, type(x))
93 sq_test = x.sqrt()
94 print ("sqrt", sq_test)
95
96 print (xbits, type(xbits))
97 s, e, m = decode_fp32(xbits)
98 print("x decode", s, e, m, hex(m))
99
100 m |= 1<<23 # set top bit (the missing "1" from mantissa)
101 m <<= 27
102
103 sm, sr, se = main(m, e)
104 lowbits = sm & 0x3
105 sm >>= 2
106 sm = get_mantissa(sm)
107 #sm += 2
108 print("our sqrt", s, se, sm, hex(sm), bin(sm), "lowbits", lowbits,
109 "rem", hex(sr))
110 if lowbits >= 2:
111 print ("probably needs rounding (+1 on mantissa)")
112
113 sq_xbits = sq_test.bits
114 s, e, m = decode_fp32(sq_xbits)
115 print ("sf32 sqrt", s, e, m, hex(m), bin(m))
116 print ()
117
118 if __name__ == '__main__':
119
120 # quick test up to 1000 of two sqrt functions
121 for Q in range(1, int(1e4)):
122 print(Q, sqrt(Q), sqrtsimple(Q), int(Q**0.5))
123 assert int(Q**0.5) == sqrtsimple(Q), "Q sqrtsimpl fail %d" % Q
124 assert int(Q**0.5) == sqrt(Q)[0], "Q sqrt fail %d" % Q
125
126 # quick mantissa/exponent demo
127 for e in range(26):
128 for m in range(26):
129 ms, mr, es = main(m, e)
130 print("m:%d e:%d sqrt: m:%d-%d e:%d" % (m, e, ms, mr, es))
131
132 x = Float32(1234.123456789)
133 fsqrt_test(x)
134 x = Float32(32.1)
135 fsqrt_test(x)
136 x = Float32(16.0)
137 fsqrt_test(x)
138 x = Float32(8.0)
139 fsqrt_test(x)
140 x = Float32(8.5)
141 fsqrt_test(x)
142 x = Float32(3.14159265358979323)
143 fsqrt_test(x)
144 x = Float32(12.99392923123123)
145 fsqrt_test(x)
146 x = Float32(0.123456)
147 fsqrt_test(x)
148
149 """
150
151 Notes:
152 https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf
153
154 //This is the main code of integer sqrt function found here:http://verilogcodes.blogspot.com/2017/11/a-verilog-function-for-finding-square-root.html
155 //
156
157 module testbench;
158
159 reg [15:0] sqr;
160
161 //Verilog function to find square root of a 32 bit number.
162 //The output is 16 bit.
163 function [15:0] sqrt;
164 input [31:0] num; //declare input
165 //intermediate signals.
166 reg [31:0] a;
167 reg [15:0] q;
168 reg [17:0] left,right,r;
169 integer i;
170 begin
171 //initialize all the variables.
172 a = num;
173 q = 0;
174 i = 0;
175 left = 0; //input to adder/sub
176 right = 0; //input to adder/sub
177 r = 0; //remainder
178 //run the calculations for 16 iterations.
179 for(i=0;i<16;i=i+1) begin
180 right = {q,r[17],1'b1};
181 left = {r[15:0],a[31:30]};
182 a = {a[29:0],2'b00}; //left shift by 2 bits.
183 if (r[17] == 1) //add if r is negative
184 r = left + right;
185 else //subtract if r is positive
186 r = left - right;
187 q = {q[14:0],!r[17]};
188 end
189 sqrt = q; //final assignment of output.
190 end
191 endfunction //end of Function
192
193
194 c version (from paper linked from URL)
195
196 unsigned squart(D, r) /*Non-Restoring sqrt*/
197 unsigned D; /*D:32-bit unsigned integer to be square rooted */
198 int *r;
199 {
200 unsigned Q = 0; /*Q:16-bit unsigned integer (root)*/
201 int R = 0; /*R:17-bit integer (remainder)*/
202 int i;
203 for (i = 15;i>=0;i--) /*for each root bit*/
204 {
205 if (R>=0)
206 { /*new remainder:*/
207 R = R<<2)|((D>>(i+i))&3);
208 R = R-((Q<<2)|1); /*-Q01*/
209 }
210 else
211 { /*new remainder:*/
212 R = R<<2)|((D>>(i+i))&3);
213 R = R+((Q<<2)|3); /*+Q11*/
214 }
215 if (R>=0) Q = Q<<1)|1; /*new Q:*/
216 else Q = Q<<1)|0; /*new Q:*/
217 }
218
219 /*remainder adjusting*/
220 if (R<0) R = R+((Q<<1)|1);
221 *r = R; /*return remainder*/
222 return(Q); /*return root*/
223 }
224
225 From wikipedia page:
226
227 short isqrt(short num) {
228 short res = 0;
229 short bit = 1 << 14; // The second-to-top bit is set: 1 << 30 for 32 bits
230
231 // "bit" starts at the highest power of four <= the argument.
232 while (bit > num)
233 bit >>= 2;
234
235 while (bit != 0) {
236 if (num >= res + bit) {
237 num -= res + bit;
238 res = (res >> 1) + bit;
239 }
240 else
241 res >>= 1;
242 bit >>= 2;
243 }
244 return res;
245 }
246
247 """