add an __main__ test thing
[ieee754fpu.git] / src / add / fsqrt.py
1 def sqrtsimple(num):
2 res = 0
3 bit = 1 << 14
4
5 while (bit > num):
6 bit >>= 2
7
8 while (bit != 0):
9 if (num >= res + bit):
10 num -= res + bit
11 res = (res >> 1) + bit
12 else:
13 res >>= 1
14 bit >>= 2
15
16 return res
17
18
19 def sqrt(num):
20 D = num # D is input (from num)
21 Q = 0
22 R = 0
23 r = 0 # remainder
24 for i in range(15, -1, -1): # negative ranges are weird...
25
26 if (R>=0):
27
28 R = (R<<2)|((D>>(i+i))&3)
29 R = R-((Q<<2)|1) #/*-Q01*/
30
31 else:
32
33 R = (R<<2)|((D>>(i+i))&3)
34 R = R+((Q<<2)|3) #/*+Q11*/
35
36 if (R>=0):
37 Q = (Q<<1)|1 #/*new Q:*/
38 else:
39 Q = (Q<<1)|0 #/*new Q:*/
40
41
42 if (R<0):
43 R = R+((Q<<1)|1)
44 r = R
45 return Q
46
47
48 def main(mantissa, exponent):
49 if exponent & 1 != 0:
50 return sqrt(mantissa << 1), # shift mantissa up
51 ((exponent - 1) / 2) # subtract 1 from exp to compensate
52 return sqrt(mantissa), # mantissa as-is
53 (exponent / 2) # no compensating needed on exp
54
55
56 if __name__ == '__main__':
57 for Q in range(1, int(1e7)):
58 print(Q, sqrt(Q), sqrtsimple(Q), int(Q**0.5))
59 assert int(Q**0.5) == sqrtsimple(Q), "Q sqrtsimpl fail %d" % Q
60 assert int(Q**0.5) == sqrt(Q), "Q sqrt fail %d" % Q
61
62 """
63 //This is the main code of integer sqrt function found here:http://verilogcodes.blogspot.com/2017/11/a-verilog-function-for-finding-square-root.html
64 //
65
66 module testbench;
67
68 reg [15:0] sqr;
69
70 //Verilog function to find square root of a 32 bit number.
71 //The output is 16 bit.
72 function [15:0] sqrt;
73 input [31:0] num; //declare input
74 //intermediate signals.
75 reg [31:0] a;
76 reg [15:0] q;
77 reg [17:0] left,right,r;
78 integer i;
79 begin
80 //initialize all the variables.
81 a = num;
82 q = 0;
83 i = 0;
84 left = 0; //input to adder/sub
85 right = 0; //input to adder/sub
86 r = 0; //remainder
87 //run the calculations for 16 iterations.
88 for(i=0;i<16;i=i+1) begin
89 right = {q,r[17],1'b1};
90 left = {r[15:0],a[31:30]};
91 a = {a[29:0],2'b00}; //left shift by 2 bits.
92 if (r[17] == 1) //add if r is negative
93 r = left + right;
94 else //subtract if r is positive
95 r = left - right;
96 q = {q[14:0],!r[17]};
97 end
98 sqrt = q; //final assignment of output.
99 end
100 endfunction //end of Function
101
102
103 c version (from paper linked from URL)
104
105 unsigned squart(D, r) /*Non-Restoring sqrt*/
106 unsigned D; /*D:32-bit unsigned integer to be square rooted */
107 int *r;
108 {
109 unsigned Q = 0; /*Q:16-bit unsigned integer (root)*/
110 int R = 0; /*R:17-bit integer (remainder)*/
111 int i;
112 for (i = 15;i>=0;i--) /*for each root bit*/
113 {
114 if (R>=0)
115 { /*new remainder:*/
116 R = R<<2)|((D>>(i+i))&3);
117 R = R-((Q<<2)|1); /*-Q01*/
118 }
119 else
120 { /*new remainder:*/
121 R = R<<2)|((D>>(i+i))&3);
122 R = R+((Q<<2)|3); /*+Q11*/
123 }
124 if (R>=0) Q = Q<<1)|1; /*new Q:*/
125 else Q = Q<<1)|0; /*new Q:*/
126 }
127
128 /*remainder adjusting*/
129 if (R<0) R = R+((Q<<1)|1);
130 *r = R; /*return remainder*/
131 return(Q); /*return root*/
132 }
133
134 From wikipedia page:
135
136 short isqrt(short num) {
137 short res = 0;
138 short bit = 1 << 14; // The second-to-top bit is set: 1 << 30 for 32 bits
139
140 // "bit" starts at the highest power of four <= the argument.
141 while (bit > num)
142 bit >>= 2;
143
144 while (bit != 0) {
145 if (num >= res + bit) {
146 num -= res + bit;
147 res = (res >> 1) + bit;
148 }
149 else
150 res >>= 1;
151 bit >>= 2;
152 }
153 return res;
154 }
155
156 """