extra tests to find out rounding conditions
[ieee754fpu.git] / src / add / fsqrt.py
1 from sfpy import Float32
2
3
4 # XXX DO NOT USE, fails on num=65536. wark-wark...
5 def sqrtsimple(num):
6 res = 0
7 bit = 1
8
9 while (bit < num):
10 bit <<= 2
11
12 while (bit != 0):
13 if (num >= res + bit):
14 num -= res + bit
15 res = (res >> 1) + bit
16 else:
17 res >>= 1
18 bit >>= 2
19
20 return res
21
22
23 def sqrt(num):
24 D = num # D is input (from num)
25 Q = 0
26 R = 0
27 r = 0 # remainder
28 for i in range(64, -1, -1): # negative ranges are weird...
29
30 if (R>=0):
31
32 R = (R<<2)|((D>>(i+i))&3)
33 R = R-((Q<<2)|1) #/*-Q01*/
34
35 else:
36
37 R = (R<<2)|((D>>(i+i))&3)
38 R = R+((Q<<2)|3) #/*+Q11*/
39
40 if (R>=0):
41 Q = (Q<<1)|1 #/*new Q:*/
42 else:
43 Q = (Q<<1)|0 #/*new Q:*/
44
45
46 if (R<0):
47 R = R+((Q<<1)|1)
48 r = R
49 return Q
50
51
52 # grabbed these from unit_test_single (convenience, this is just experimenting)
53
54 def get_mantissa(x):
55 return 0x7fffff & x
56
57 def get_exponent(x):
58 return ((x & 0x7f800000) >> 23) - 127
59
60 def set_exponent(x, e):
61 return (x & ~0x7f800000) | ((e+127) << 23)
62
63 def get_sign(x):
64 return ((x & 0x80000000) >> 31)
65
66 # convert FP32 to s/e/m
67 def create_fp32(s, e, m):
68 """ receive sign, exponent, mantissa, return FP32 """
69 return set_exponent((s << 31) | get_mantissa(m))
70
71 # convert s/e/m to FP32
72 def decode_fp32(x):
73 """ receive FP32, return sign, exponent, mantissa """
74 return get_sign(x), get_exponent(x), get_mantissa(x)
75
76
77 # main function, takes mantissa and exponent as separate arguments
78 # returns a tuple, sqrt'd mantissa, sqrt'd exponent
79
80 def main(mantissa, exponent):
81 if exponent & 1 != 0:
82 # shift mantissa up, subtract 1 from exp to compensate
83 return sqrt(mantissa << 1), (exponent - 1) >> 1
84 # mantissa as-is, no compensating needed on exp
85 return sqrt(mantissa), (exponent >> 1)
86
87
88 def fsqrt_test(x):
89
90 xbits = x.bits
91 print ("x", x, type(x))
92 sq_test = x.sqrt()
93 print ("sqrt", sq_test)
94
95 print (xbits, type(xbits))
96 s, e, m = decode_fp32(xbits)
97 print("x decode", s, e, m, hex(m))
98
99 m |= 1<<23 # set top bit (the missing "1" from mantissa)
100 m <<= 27
101
102 sm, se = main(m, e)
103 lowbits = sm & 0x3
104 sm >>= 2
105 sm = get_mantissa(sm)
106 #sm += 2
107 print("our sqrt", s, se, sm, hex(sm), bin(sm), "lowbits", lowbits)
108 if lowbits >= 2:
109 print ("probably needs rounding (+1 on mantissa)")
110
111 sq_xbits = sq_test.bits
112 s, e, m = decode_fp32(sq_xbits)
113 print ("sf32 sqrt", s, e, m, hex(m), bin(m))
114 print ()
115
116 if __name__ == '__main__':
117
118 # quick test up to 1000 of two sqrt functions
119 for Q in range(1, int(1e4)):
120 print(Q, sqrt(Q), sqrtsimple(Q), int(Q**0.5))
121 assert int(Q**0.5) == sqrtsimple(Q), "Q sqrtsimpl fail %d" % Q
122 assert int(Q**0.5) == sqrt(Q), "Q sqrt fail %d" % Q
123
124 # quick mantissa/exponent demo
125 for e in range(26):
126 for m in range(26):
127 ms, es = main(m, e)
128 print("m:%d e:%d sqrt: m:%d e:%d" % (m, e, ms, es))
129
130 x = Float32(1234.123456789)
131 fsqrt_test(x)
132 x = Float32(32.1)
133 fsqrt_test(x)
134 x = Float32(16.0)
135 fsqrt_test(x)
136 x = Float32(8.0)
137 fsqrt_test(x)
138 x = Float32(8.5)
139 fsqrt_test(x)
140 x = Float32(3.14159265358979323)
141 fsqrt_test(x)
142 x = Float32(12.99392923123123)
143 fsqrt_test(x)
144
145 """
146
147 Notes:
148 https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf
149
150 //This is the main code of integer sqrt function found here:http://verilogcodes.blogspot.com/2017/11/a-verilog-function-for-finding-square-root.html
151 //
152
153 module testbench;
154
155 reg [15:0] sqr;
156
157 //Verilog function to find square root of a 32 bit number.
158 //The output is 16 bit.
159 function [15:0] sqrt;
160 input [31:0] num; //declare input
161 //intermediate signals.
162 reg [31:0] a;
163 reg [15:0] q;
164 reg [17:0] left,right,r;
165 integer i;
166 begin
167 //initialize all the variables.
168 a = num;
169 q = 0;
170 i = 0;
171 left = 0; //input to adder/sub
172 right = 0; //input to adder/sub
173 r = 0; //remainder
174 //run the calculations for 16 iterations.
175 for(i=0;i<16;i=i+1) begin
176 right = {q,r[17],1'b1};
177 left = {r[15:0],a[31:30]};
178 a = {a[29:0],2'b00}; //left shift by 2 bits.
179 if (r[17] == 1) //add if r is negative
180 r = left + right;
181 else //subtract if r is positive
182 r = left - right;
183 q = {q[14:0],!r[17]};
184 end
185 sqrt = q; //final assignment of output.
186 end
187 endfunction //end of Function
188
189
190 c version (from paper linked from URL)
191
192 unsigned squart(D, r) /*Non-Restoring sqrt*/
193 unsigned D; /*D:32-bit unsigned integer to be square rooted */
194 int *r;
195 {
196 unsigned Q = 0; /*Q:16-bit unsigned integer (root)*/
197 int R = 0; /*R:17-bit integer (remainder)*/
198 int i;
199 for (i = 15;i>=0;i--) /*for each root bit*/
200 {
201 if (R>=0)
202 { /*new remainder:*/
203 R = R<<2)|((D>>(i+i))&3);
204 R = R-((Q<<2)|1); /*-Q01*/
205 }
206 else
207 { /*new remainder:*/
208 R = R<<2)|((D>>(i+i))&3);
209 R = R+((Q<<2)|3); /*+Q11*/
210 }
211 if (R>=0) Q = Q<<1)|1; /*new Q:*/
212 else Q = Q<<1)|0; /*new Q:*/
213 }
214
215 /*remainder adjusting*/
216 if (R<0) R = R+((Q<<1)|1);
217 *r = R; /*return remainder*/
218 return(Q); /*return root*/
219 }
220
221 From wikipedia page:
222
223 short isqrt(short num) {
224 short res = 0;
225 short bit = 1 << 14; // The second-to-top bit is set: 1 << 30 for 32 bits
226
227 // "bit" starts at the highest power of four <= the argument.
228 while (bit > num)
229 bit >>= 2;
230
231 while (bit != 0) {
232 if (num >= res + bit) {
233 num -= res + bit;
234 res = (res >> 1) + bit;
235 }
236 else
237 res >>= 1;
238 bit >>= 2;
239 }
240 return res;
241 }
242
243 """