complicated way to create a loop inside the normalisation module
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat
6 from nmigen.cli import main, verilog
7
8 from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase, FPNumBase
9
10
11 class FPState(FPBase):
12 def __init__(self, state_from):
13 self.state_from = state_from
14
15 def set_inputs(self, inputs):
16 self.inputs = inputs
17 for k,v in inputs.items():
18 setattr(self, k, v)
19
20 def set_outputs(self, outputs):
21 self.outputs = outputs
22 for k,v in outputs.items():
23 setattr(self, k, v)
24
25
26 class FPGetOpMod:
27 def __init__(self, width):
28 self.in_op = FPOp(width)
29 self.out_op = FPNumIn(self.in_op, width)
30 self.out_decode = Signal(reset_less=True)
31
32 def setup(self, m, in_op, out_op, out_decode):
33 """ links module to inputs and outputs
34 """
35 m.d.comb += self.in_op.copy(in_op)
36 m.d.comb += out_op.v.eq(self.out_op.v)
37 m.d.comb += out_decode.eq(self.out_decode)
38
39 def elaborate(self, platform):
40 m = Module()
41 m.d.comb += self.out_decode.eq((self.in_op.ack) & (self.in_op.stb))
42 #m.submodules.get_op_in = self.in_op
43 m.submodules.get_op_out = self.out_op
44 with m.If(self.out_decode):
45 m.d.comb += [
46 self.out_op.decode(self.in_op.v),
47 ]
48 return m
49
50
51 class FPGetOp(FPState):
52 """ gets operand
53 """
54
55 def __init__(self, in_state, out_state, in_op, width):
56 FPState.__init__(self, in_state)
57 self.out_state = out_state
58 self.mod = FPGetOpMod(width)
59 self.in_op = in_op
60 self.out_op = FPNumIn(in_op, width)
61 self.out_decode = Signal(reset_less=True)
62
63 def action(self, m):
64 with m.If(self.out_decode):
65 m.next = self.out_state
66 m.d.sync += [
67 self.in_op.ack.eq(0),
68 self.out_op.copy(self.mod.out_op)
69 ]
70 with m.Else():
71 m.d.sync += self.in_op.ack.eq(1)
72
73
74 class FPGetOpB(FPState):
75 """ gets operand b
76 """
77
78 def __init__(self, in_b, width):
79 FPState.__init__(self, "get_b")
80 self.in_b = in_b
81 self.b = FPNumIn(self.in_b, width)
82
83 def action(self, m):
84 self.get_op(m, self.in_b, self.b, "special_cases")
85
86
87 class FPAddSpecialCasesMod:
88 """ special cases: NaNs, infs, zeros, denormalised
89 NOTE: some of these are unique to add. see "Special Operations"
90 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
91 """
92
93 def __init__(self, width):
94 self.in_a = FPNumBase(width)
95 self.in_b = FPNumBase(width)
96 self.out_z = FPNumOut(width, False)
97 self.out_do_z = Signal(reset_less=True)
98
99 def setup(self, m, in_a, in_b, out_z, out_do_z):
100 """ links module to inputs and outputs
101 """
102 m.d.comb += self.in_a.copy(in_a)
103 m.d.comb += self.in_b.copy(in_b)
104 m.d.comb += out_z.v.eq(self.out_z.v)
105 m.d.comb += out_do_z.eq(self.out_do_z)
106
107 def elaborate(self, platform):
108 m = Module()
109
110 m.submodules.sc_in_a = self.in_a
111 m.submodules.sc_in_b = self.in_b
112 m.submodules.sc_out_z = self.out_z
113
114 s_nomatch = Signal()
115 m.d.comb += s_nomatch.eq(self.in_a.s != self.in_b.s)
116
117 m_match = Signal()
118 m.d.comb += m_match.eq(self.in_a.m == self.in_b.m)
119
120 # if a is NaN or b is NaN return NaN
121 with m.If(self.in_a.is_nan | self.in_b.is_nan):
122 m.d.comb += self.out_do_z.eq(1)
123 m.d.comb += self.out_z.nan(0)
124
125 # XXX WEIRDNESS for FP16 non-canonical NaN handling
126 # under review
127
128 ## if a is zero and b is NaN return -b
129 #with m.If(a.is_zero & (a.s==0) & b.is_nan):
130 # m.d.comb += self.out_do_z.eq(1)
131 # m.d.comb += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
132
133 ## if b is zero and a is NaN return -a
134 #with m.Elif(b.is_zero & (b.s==0) & a.is_nan):
135 # m.d.comb += self.out_do_z.eq(1)
136 # m.d.comb += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
137
138 ## if a is -zero and b is NaN return -b
139 #with m.Elif(a.is_zero & (a.s==1) & b.is_nan):
140 # m.d.comb += self.out_do_z.eq(1)
141 # m.d.comb += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
142
143 ## if b is -zero and a is NaN return -a
144 #with m.Elif(b.is_zero & (b.s==1) & a.is_nan):
145 # m.d.comb += self.out_do_z.eq(1)
146 # m.d.comb += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
147
148 # if a is inf return inf (or NaN)
149 with m.Elif(self.in_a.is_inf):
150 m.d.comb += self.out_do_z.eq(1)
151 m.d.comb += self.out_z.inf(self.in_a.s)
152 # if a is inf and signs don't match return NaN
153 with m.If(self.in_b.exp_128 & s_nomatch):
154 m.d.comb += self.out_z.nan(0)
155
156 # if b is inf return inf
157 with m.Elif(self.in_b.is_inf):
158 m.d.comb += self.out_do_z.eq(1)
159 m.d.comb += self.out_z.inf(self.in_b.s)
160
161 # if a is zero and b zero return signed-a/b
162 with m.Elif(self.in_a.is_zero & self.in_b.is_zero):
163 m.d.comb += self.out_do_z.eq(1)
164 m.d.comb += self.out_z.create(self.in_a.s & self.in_b.s,
165 self.in_b.e,
166 self.in_b.m[3:-1])
167
168 # if a is zero return b
169 with m.Elif(self.in_a.is_zero):
170 m.d.comb += self.out_do_z.eq(1)
171 m.d.comb += self.out_z.create(self.in_b.s, self.in_b.e,
172 self.in_b.m[3:-1])
173
174 # if b is zero return a
175 with m.Elif(self.in_b.is_zero):
176 m.d.comb += self.out_do_z.eq(1)
177 m.d.comb += self.out_z.create(self.in_a.s, self.in_a.e,
178 self.in_a.m[3:-1])
179
180 # if a equal to -b return zero (+ve zero)
181 with m.Elif(s_nomatch & m_match & (self.in_a.e == self.in_b.e)):
182 m.d.comb += self.out_do_z.eq(1)
183 m.d.comb += self.out_z.zero(0)
184
185 # Denormalised Number checks
186 with m.Else():
187 m.d.comb += self.out_do_z.eq(0)
188
189 return m
190
191
192 class FPAddSpecialCases(FPState):
193 """ special cases: NaNs, infs, zeros, denormalised
194 NOTE: some of these are unique to add. see "Special Operations"
195 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
196 """
197
198 def __init__(self, width):
199 FPState.__init__(self, "special_cases")
200 self.mod = FPAddSpecialCasesMod(width)
201 self.out_z = FPNumOut(width, False)
202 self.out_do_z = Signal(reset_less=True)
203
204 def action(self, m):
205 with m.If(self.out_do_z):
206 m.d.sync += self.z.v.eq(self.out_z.v) # only take the output
207 m.next = "put_z"
208 with m.Else():
209 m.next = "denormalise"
210
211
212 class FPAddDeNormMod(FPState):
213
214 def __init__(self, width):
215 self.in_a = FPNumBase(width)
216 self.in_b = FPNumBase(width)
217 self.out_a = FPNumBase(width)
218 self.out_b = FPNumBase(width)
219
220 def setup(self, m, in_a, in_b, out_a, out_b):
221 """ links module to inputs and outputs
222 """
223 m.d.comb += self.in_a.copy(in_a)
224 m.d.comb += self.in_b.copy(in_b)
225 m.d.comb += out_a.copy(self.out_a)
226 m.d.comb += out_b.copy(self.out_b)
227
228 def elaborate(self, platform):
229 m = Module()
230 m.submodules.denorm_in_a = self.in_a
231 m.submodules.denorm_in_b = self.in_b
232 m.submodules.denorm_out_a = self.out_a
233 m.submodules.denorm_out_b = self.out_b
234 # hmmm, don't like repeating identical code
235 m.d.comb += self.out_a.copy(self.in_a)
236 with m.If(self.in_a.exp_n127):
237 m.d.comb += self.out_a.e.eq(self.in_a.N126) # limit a exponent
238 with m.Else():
239 m.d.comb += self.out_a.m[-1].eq(1) # set top mantissa bit
240
241 m.d.comb += self.out_b.copy(self.in_b)
242 with m.If(self.in_b.exp_n127):
243 m.d.comb += self.out_b.e.eq(self.in_b.N126) # limit a exponent
244 with m.Else():
245 m.d.comb += self.out_b.m[-1].eq(1) # set top mantissa bit
246
247 return m
248
249
250 class FPAddDeNorm(FPState):
251
252 def __init__(self, width):
253 FPState.__init__(self, "denormalise")
254 self.mod = FPAddDeNormMod(width)
255 self.out_a = FPNumBase(width)
256 self.out_b = FPNumBase(width)
257
258 def action(self, m):
259 # Denormalised Number checks
260 m.next = "align"
261 m.d.sync += self.a.copy(self.out_a)
262 m.d.sync += self.b.copy(self.out_b)
263
264
265 class FPAddAlignMultiMod(FPState):
266
267 def __init__(self, width):
268 self.in_a = FPNumBase(width)
269 self.in_b = FPNumBase(width)
270 self.out_a = FPNumIn(None, width)
271 self.out_b = FPNumIn(None, width)
272 self.exp_eq = Signal(reset_less=True)
273
274 def setup(self, m, in_a, in_b, out_a, out_b, exp_eq):
275 """ links module to inputs and outputs
276 """
277 m.d.comb += self.in_a.copy(in_a)
278 m.d.comb += self.in_b.copy(in_b)
279 m.d.comb += out_a.copy(self.out_a)
280 m.d.comb += out_b.copy(self.out_b)
281 m.d.comb += exp_eq.eq(self.exp_eq)
282
283 def elaborate(self, platform):
284 # This one however (single-cycle) will do the shift
285 # in one go.
286
287 m = Module()
288
289 #m.submodules.align_in_a = self.in_a
290 #m.submodules.align_in_b = self.in_b
291 m.submodules.align_out_a = self.out_a
292 m.submodules.align_out_b = self.out_b
293
294 # NOTE: this does *not* do single-cycle multi-shifting,
295 # it *STAYS* in the align state until exponents match
296
297 # exponent of a greater than b: shift b down
298 m.d.comb += self.exp_eq.eq(0)
299 m.d.comb += self.out_a.copy(self.in_a)
300 m.d.comb += self.out_b.copy(self.in_b)
301 agtb = Signal(reset_less=True)
302 altb = Signal(reset_less=True)
303 m.d.comb += agtb.eq(self.in_a.e > self.in_b.e)
304 m.d.comb += altb.eq(self.in_a.e < self.in_b.e)
305 with m.If(agtb):
306 m.d.comb += self.out_b.shift_down(self.in_b)
307 # exponent of b greater than a: shift a down
308 with m.Elif(altb):
309 m.d.comb += self.out_a.shift_down(self.in_a)
310 # exponents equal: move to next stage.
311 with m.Else():
312 m.d.comb += self.exp_eq.eq(1)
313 return m
314
315
316 class FPAddAlignMulti(FPState):
317
318 def __init__(self, width):
319 FPState.__init__(self, "align")
320 self.mod = FPAddAlignMultiMod(width)
321 self.out_a = FPNumIn(None, width)
322 self.out_b = FPNumIn(None, width)
323 self.exp_eq = Signal(reset_less=True)
324
325 def action(self, m):
326 m.d.sync += self.a.copy(self.out_a)
327 m.d.sync += self.b.copy(self.out_b)
328 with m.If(self.exp_eq):
329 m.next = "add_0"
330
331
332 class FPAddAlignSingleMod:
333
334 def __init__(self, width):
335 self.in_a = FPNumBase(width)
336 self.in_b = FPNumBase(width)
337 self.out_a = FPNumIn(None, width)
338 self.out_b = FPNumIn(None, width)
339 #self.out_a = FPNumBase(width)
340 #self.out_b = FPNumBase(width)
341
342 def setup(self, m, in_a, in_b, out_a, out_b):
343 """ links module to inputs and outputs
344 """
345 m.d.comb += self.in_a.copy(in_a)
346 m.d.comb += self.in_b.copy(in_b)
347 m.d.comb += out_a.copy(self.out_a)
348 m.d.comb += out_b.copy(self.out_b)
349
350 def elaborate(self, platform):
351 # This one however (single-cycle) will do the shift
352 # in one go.
353
354 m = Module()
355
356 #m.submodules.align_in_a = self.in_a
357 #m.submodules.align_in_b = self.in_b
358 m.submodules.align_out_a = self.out_a
359 m.submodules.align_out_b = self.out_b
360
361 # XXX TODO: the shifter used here is quite expensive
362 # having only one would be better
363
364 ediff = Signal((len(self.in_a.e), True), reset_less=True)
365 ediffr = Signal((len(self.in_a.e), True), reset_less=True)
366 m.d.comb += ediff.eq(self.in_a.e - self.in_b.e)
367 m.d.comb += ediffr.eq(self.in_b.e - self.in_a.e)
368 m.d.comb += self.out_a.copy(self.in_a)
369 m.d.comb += self.out_b.copy(self.in_b)
370 with m.If(ediff > 0):
371 m.d.comb += self.out_b.shift_down_multi(ediff)
372 # exponent of b greater than a: shift a down
373 with m.Elif(ediff < 0):
374 m.d.comb += self.out_a.shift_down_multi(ediffr)
375 return m
376
377
378 class FPAddAlignSingle(FPState):
379
380 def __init__(self, width):
381 FPState.__init__(self, "align")
382 self.mod = FPAddAlignSingleMod(width)
383 self.out_a = FPNumIn(None, width)
384 self.out_b = FPNumIn(None, width)
385
386 def action(self, m):
387 m.d.sync += self.a.copy(self.out_a)
388 m.d.sync += self.b.copy(self.out_b)
389 m.next = "add_0"
390
391
392 class FPAddStage0Mod:
393
394 def __init__(self, width):
395 self.in_a = FPNumBase(width)
396 self.in_b = FPNumBase(width)
397 self.in_z = FPNumBase(width, False)
398 self.out_z = FPNumBase(width, False)
399 self.out_tot = Signal(self.out_z.m_width + 4, reset_less=True)
400
401 def setup(self, m, in_a, in_b, in_z, out_z, out_tot):
402 """ links module to inputs and outputs
403 """
404 m.d.comb += self.in_a.copy(in_a)
405 m.d.comb += self.in_b.copy(in_b)
406 m.d.comb += self.in_z.copy(in_z)
407 m.d.comb += out_z.copy(self.out_z)
408 m.d.comb += out_tot.eq(self.out_tot)
409
410 def elaborate(self, platform):
411 m = Module()
412 m.submodules.add0_in_a = self.in_a
413 m.submodules.add0_in_b = self.in_b
414 #m.submodules.add0_in_z = self.in_z
415 #m.submodules.add0_out_z = self.out_z
416
417 m.d.comb += self.out_z.e.eq(self.in_a.e)
418
419 # store intermediate tests (and zero-extended mantissas)
420 seq = Signal(reset_less=True)
421 mge = Signal(reset_less=True)
422 am0 = Signal(len(self.in_a.m)+1, reset_less=True)
423 bm0 = Signal(len(self.in_b.m)+1, reset_less=True)
424 m.d.comb += [seq.eq(self.in_a.s == self.in_b.s),
425 mge.eq(self.in_a.m >= self.in_b.m),
426 am0.eq(Cat(self.in_a.m, 0)),
427 bm0.eq(Cat(self.in_b.m, 0))
428 ]
429 # same-sign (both negative or both positive) add mantissas
430 with m.If(seq):
431 m.d.comb += [
432 self.out_tot.eq(am0 + bm0),
433 self.out_z.s.eq(self.in_a.s)
434 ]
435 # a mantissa greater than b, use a
436 with m.Elif(mge):
437 m.d.comb += [
438 self.out_tot.eq(am0 - bm0),
439 self.out_z.s.eq(self.in_a.s)
440 ]
441 # b mantissa greater than a, use b
442 with m.Else():
443 m.d.comb += [
444 self.out_tot.eq(bm0 - am0),
445 self.out_z.s.eq(self.in_b.s)
446 ]
447 return m
448
449
450 class FPAddStage0(FPState):
451 """ First stage of add. covers same-sign (add) and subtract
452 special-casing when mantissas are greater or equal, to
453 give greatest accuracy.
454 """
455
456 def __init__(self, width):
457 FPState.__init__(self, "add_0")
458 self.mod = FPAddStage0Mod(width)
459 self.out_z = FPNumBase(width, False)
460 self.out_tot = Signal(self.out_z.m_width + 4, reset_less=True)
461
462 def action(self, m):
463 m.next = "add_1"
464 m.d.sync += self.z.copy(self.out_z)
465
466
467 class FPAddStage1Mod(FPState):
468 """ Second stage of add: preparation for normalisation.
469 detects when tot sum is too big (tot[27] is kinda a carry bit)
470 """
471
472 def __init__(self, width):
473 self.out_norm = Signal(reset_less=True)
474 self.in_z = FPNumBase(width, False)
475 self.in_tot = Signal(self.in_z.m_width + 4, reset_less=True)
476 self.out_z = FPNumBase(width, False)
477 self.out_of = Overflow()
478
479 def setup(self, m, in_tot, in_z, out_z, out_of):
480 """ links module to inputs and outputs
481 """
482 m.d.comb += self.in_z.copy(in_z)
483 m.d.comb += self.in_tot.eq(in_tot)
484 #m.d.comb += out_z.copy(self.out_z)
485 #m.d.comb += out_of.copy(self.out_of)
486
487 def elaborate(self, platform):
488 m = Module()
489 #m.submodules.norm1_in_overflow = self.in_of
490 #m.submodules.norm1_out_overflow = self.out_of
491 #m.submodules.norm1_in_z = self.in_z
492 #m.submodules.norm1_out_z = self.out_z
493 m.d.comb += self.out_z.copy(self.in_z)
494 # tot[27] gets set when the sum overflows. shift result down
495 with m.If(self.in_tot[-1]):
496 m.d.comb += [
497 self.out_z.m.eq(self.in_tot[4:]),
498 self.out_of.m0.eq(self.in_tot[4]),
499 self.out_of.guard.eq(self.in_tot[3]),
500 self.out_of.round_bit.eq(self.in_tot[2]),
501 self.out_of.sticky.eq(self.in_tot[1] | self.in_tot[0]),
502 self.out_z.e.eq(self.in_z.e + 1)
503 ]
504 # tot[27] zero case
505 with m.Else():
506 m.d.comb += [
507 self.out_z.m.eq(self.in_tot[3:]),
508 self.out_of.m0.eq(self.in_tot[3]),
509 self.out_of.guard.eq(self.in_tot[2]),
510 self.out_of.round_bit.eq(self.in_tot[1]),
511 self.out_of.sticky.eq(self.in_tot[0])
512 ]
513 return m
514
515
516 class FPAddStage1(FPState):
517
518 def __init__(self, width):
519 FPState.__init__(self, "add_1")
520 self.mod = FPAddStage1Mod(width)
521 self.out_z = FPNumBase(width, False)
522 self.out_of = Overflow()
523 self.norm_stb = Signal()
524
525 def action(self, m):
526 m.submodules.add1_out_overflow = self.out_of
527 m.d.sync += self.out_of.copy(self.mod.out_of)
528 m.d.sync += self.out_z.copy(self.mod.out_z)
529 m.d.sync += self.norm_stb.eq(1)
530 m.next = "normalise_1"
531
532
533 class FPNorm1Mod:
534
535 def __init__(self, width):
536 self.width = width
537 self.in_select = Signal(reset_less=True)
538 self.out_norm = Signal(reset_less=True)
539 self.in_z = FPNumBase(width, False)
540 self.in_of = Overflow()
541 self.temp_z = FPNumBase(width, False)
542 self.temp_of = Overflow()
543 self.out_z = FPNumBase(width, False)
544 self.out_of = Overflow()
545
546 def setup(self, m, in_select, in_z, temp_z, out_z,
547 in_of, temp_of, out_of,
548 out_norm):
549 """ links module to inputs and outputs
550 """
551 m.d.comb += self.in_select.eq(in_select)
552 m.d.comb += self.in_z.copy(in_z)
553 m.d.comb += self.in_of.copy(in_of)
554 m.d.comb += self.temp_z.copy(temp_z)
555 m.d.comb += self.temp_of.copy(temp_of)
556 m.d.comb += out_z.copy(self.out_z)
557 m.d.comb += out_of.copy(self.out_of)
558 m.d.comb += out_norm.eq(self.out_norm)
559
560 def elaborate(self, platform):
561 m = Module()
562 m.submodules.norm1_out_z = self.out_z
563 m.submodules.norm1_out_overflow = self.out_of
564 m.submodules.norm1_temp_z = self.temp_z
565 m.submodules.norm1_temp_of = self.temp_of
566 in_z = FPNumBase(self.width, False)
567 in_of = Overflow()
568 m.submodules.norm1_in_z = in_z
569 m.submodules.norm1_in_overflow = in_of
570 # select which of temp or in z/of to use
571 with m.If(self.in_select):
572 m.d.comb += in_z.copy(self.in_z)
573 m.d.comb += in_of.copy(self.in_of)
574 with m.Else():
575 m.d.comb += in_z.copy(self.temp_z)
576 m.d.comb += in_of.copy(self.temp_of)
577 # initialise out from in (overridden below)
578 m.d.comb += self.out_z.copy(in_z)
579 m.d.comb += self.out_of.copy(in_of)
580 # normalisation increase/decrease conditions
581 decrease = Signal(reset_less=True)
582 increase = Signal(reset_less=True)
583 m.d.comb += decrease.eq(in_z.m_msbzero & in_z.exp_gt_n126)
584 m.d.comb += increase.eq(in_z.exp_lt_n126)
585 m.d.comb += self.out_norm.eq(decrease | increase) # loop-end condition
586 # decrease exponent
587 with m.If(decrease):
588 m.d.comb += [
589 self.out_z.e.eq(in_z.e - 1), # DECREASE exponent
590 self.out_z.m.eq(in_z.m << 1), # shift mantissa UP
591 self.out_z.m[0].eq(in_of.guard), # steal guard (was tot[2])
592 self.out_of.guard.eq(in_of.round_bit), # round (was tot[1])
593 self.out_of.round_bit.eq(0), # reset round bit
594 self.out_of.m0.eq(in_of.guard),
595 ]
596 # increase exponent
597 with m.If(increase):
598 m.d.comb += [
599 self.out_z.e.eq(in_z.e + 1), # INCREASE exponent
600 self.out_z.m.eq(in_z.m >> 1), # shift mantissa DOWN
601 self.out_of.guard.eq(in_z.m[0]),
602 self.out_of.m0.eq(in_z.m[1]),
603 self.out_of.round_bit.eq(in_of.guard),
604 self.out_of.sticky.eq(in_of.sticky | in_of.round_bit)
605 ]
606
607 return m
608
609
610 class FPNorm1(FPState):
611
612 def __init__(self, width):
613 FPState.__init__(self, "normalise_1")
614 self.mod = FPNorm1Mod(width)
615 self.stb = Signal(reset_less=True)
616 self.ack = Signal(reset=0, reset_less=True)
617 self.out_norm = Signal(reset_less=True)
618 self.in_accept = Signal(reset_less=True)
619 self.temp_z = FPNumBase(width)
620 self.temp_of = Overflow()
621 self.out_z = FPNumBase(width)
622 self.out_of = Overflow()
623
624 def action(self, m):
625 m.d.comb += self.in_accept.eq((~self.ack) & (self.stb))
626 m.d.sync += self.of.copy(self.out_of)
627 m.d.sync += self.z.copy(self.out_z)
628 m.d.sync += self.temp_of.copy(self.out_of)
629 m.d.sync += self.temp_z.copy(self.out_z)
630 with m.If(self.out_norm):
631 with m.If(self.in_accept):
632 m.d.sync += [
633 self.ack.eq(1),
634 ]
635 with m.Else():
636 m.d.sync += self.ack.eq(0)
637 with m.Else():
638 # normalisation not required (or done).
639 m.next = "round"
640 m.d.sync += self.ack.eq(1)
641
642
643 class FPRoundMod:
644
645 def __init__(self, width):
646 self.in_roundz = Signal(reset_less=True)
647 self.in_z = FPNumBase(width, False)
648 self.out_z = FPNumBase(width, False)
649
650 def setup(self, m, in_z, out_z, in_of):
651 """ links module to inputs and outputs
652 """
653 m.d.comb += self.in_z.copy(in_z)
654 m.d.comb += out_z.copy(self.out_z)
655 m.d.comb += self.in_roundz.eq(in_of.roundz)
656
657 def elaborate(self, platform):
658 m = Module()
659 m.d.comb += self.out_z.copy(self.in_z)
660 with m.If(self.in_roundz):
661 m.d.comb += self.out_z.m.eq(self.in_z.m + 1) # mantissa rounds up
662 with m.If(self.in_z.m == self.in_z.m1s): # all 1s
663 m.d.comb += self.out_z.e.eq(self.in_z.e + 1) # exponent up
664 return m
665
666
667 class FPRound(FPState):
668
669 def __init__(self, width):
670 FPState.__init__(self, "round")
671 self.mod = FPRoundMod(width)
672 self.out_z = FPNumBase(width)
673
674 def action(self, m):
675 m.d.sync += self.z.copy(self.out_z)
676 m.next = "corrections"
677
678
679 class FPCorrectionsMod:
680
681 def __init__(self, width):
682 self.in_z = FPNumOut(width, False)
683 self.out_z = FPNumOut(width, False)
684
685 def setup(self, m, in_z, out_z):
686 """ links module to inputs and outputs
687 """
688 m.d.comb += self.in_z.copy(in_z)
689 m.d.comb += out_z.copy(self.out_z)
690
691 def elaborate(self, platform):
692 m = Module()
693 m.submodules.corr_in_z = self.in_z
694 m.submodules.corr_out_z = self.out_z
695 m.d.comb += self.out_z.copy(self.in_z)
696 with m.If(self.in_z.is_denormalised):
697 m.d.comb += self.out_z.e.eq(self.in_z.N127)
698
699 # with m.If(self.in_z.is_overflowed):
700 # m.d.comb += self.out_z.inf(self.in_z.s)
701 # with m.Else():
702 # m.d.comb += self.out_z.create(self.in_z.s, self.in_z.e, self.in_z.m)
703 return m
704
705
706 class FPCorrections(FPState):
707
708 def __init__(self, width):
709 FPState.__init__(self, "corrections")
710 self.mod = FPCorrectionsMod(width)
711 self.out_z = FPNumBase(width)
712
713 def action(self, m):
714 m.d.sync += self.z.copy(self.out_z)
715 m.next = "pack"
716
717
718 class FPPackMod:
719
720 def __init__(self, width):
721 self.in_z = FPNumOut(width, False)
722 self.out_z = FPNumOut(width, False)
723
724 def setup(self, m, in_z, out_z):
725 """ links module to inputs and outputs
726 """
727 m.d.comb += self.in_z.copy(in_z)
728 m.d.comb += out_z.v.eq(self.out_z.v)
729
730 def elaborate(self, platform):
731 m = Module()
732 m.submodules.pack_in_z = self.in_z
733 with m.If(self.in_z.is_overflowed):
734 m.d.comb += self.out_z.inf(self.in_z.s)
735 with m.Else():
736 m.d.comb += self.out_z.create(self.in_z.s, self.in_z.e, self.in_z.m)
737 return m
738
739
740 class FPPack(FPState):
741
742 def __init__(self, width):
743 FPState.__init__(self, "pack")
744 self.mod = FPPackMod(width)
745 self.out_z = FPNumOut(width, False)
746
747 def action(self, m):
748 m.d.sync += self.z.v.eq(self.out_z.v)
749 m.next = "pack_put_z"
750
751
752 class FPPutZ(FPState):
753
754 def action(self, m):
755 self.put_z(m, self.z, self.out_z, "get_a")
756
757
758 class FPADD:
759
760 def __init__(self, width, single_cycle=False):
761 self.width = width
762 self.single_cycle = single_cycle
763
764 self.in_a = FPOp(width)
765 self.in_b = FPOp(width)
766 self.out_z = FPOp(width)
767
768 self.states = []
769
770 def add_state(self, state):
771 self.states.append(state)
772 return state
773
774 def get_fragment(self, platform=None):
775 """ creates the HDL code-fragment for FPAdd
776 """
777 m = Module()
778
779 # Latches
780 z = FPNumOut(self.width, False)
781 m.submodules.fpnum_z = z
782
783 w = z.m_width + 4
784
785 geta = self.add_state(FPGetOp("get_a", "get_b",
786 self.in_a, self.width))
787 a = geta.out_op
788 geta.mod.setup(m, self.in_a, geta.out_op, geta.out_decode)
789 m.submodules.get_a = geta.mod
790
791 getb = self.add_state(FPGetOp("get_b", "special_cases",
792 self.in_b, self.width))
793 b = getb.out_op
794 getb.mod.setup(m, self.in_b, getb.out_op, getb.out_decode)
795 m.submodules.get_b = getb.mod
796
797 sc = self.add_state(FPAddSpecialCases(self.width))
798 sc.set_inputs({"a": a, "b": b})
799 sc.set_outputs({"z": z})
800 sc.mod.setup(m, a, b, sc.out_z, sc.out_do_z)
801 m.submodules.specialcases = sc.mod
802
803 dn = self.add_state(FPAddDeNorm(self.width))
804 dn.set_inputs({"a": a, "b": b})
805 #dn.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
806 dn.mod.setup(m, a, b, dn.out_a, dn.out_b)
807 m.submodules.denormalise = dn.mod
808
809 if self.single_cycle:
810 alm = self.add_state(FPAddAlignSingle(self.width))
811 alm.set_inputs({"a": a, "b": b})
812 alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
813 alm.mod.setup(m, a, b, alm.out_a, alm.out_b)
814 else:
815 alm = self.add_state(FPAddAlignMulti(self.width))
816 alm.set_inputs({"a": a, "b": b})
817 #alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
818 alm.mod.setup(m, a, b, alm.out_a, alm.out_b, alm.exp_eq)
819 m.submodules.align = alm.mod
820
821 az1 = FPNumOut(self.width, False)
822 m.submodules.fpnum_az1 = az1
823
824 add0 = self.add_state(FPAddStage0(self.width))
825 add0.set_inputs({"a": alm.out_a, "b": alm.out_b})
826 add0.set_outputs({"z": az1})
827 add0.mod.setup(m, alm.out_a, alm.out_b, az1, add0.out_z, add0.out_tot)
828 m.submodules.add0 = add0.mod
829
830 add1 = self.add_state(FPAddStage1(self.width))
831 #add1.set_outputs({"z": az}) # XXX Z as output
832 add1.mod.setup(m, add0.out_tot, az1, None, add1.out_of)
833 m.submodules.add1 = add1.mod
834 m.d.sync += add1.norm_stb.eq(0) # sets to zero when not in add1 state
835
836 az = add1.out_z
837
838 n1 = self.add_state(FPNorm1(self.width))
839 n1.set_inputs({"z": az, "of": add1.out_of}) # XXX Z as output
840 n1.set_outputs({"z": az}) # XXX Z as output
841 n1.mod.setup(m, n1.in_accept,
842 az, n1.temp_z, n1.out_z,
843 add1.out_of, n1.temp_of, n1.out_of,
844 n1.out_norm)
845 m.submodules.normalise_1 = n1.mod
846 m.d.comb += n1.stb.eq(add1.norm_stb)
847 m.d.sync += n1.ack.eq(0) # sets to zero when not in normalise_1 state
848
849 rnz = FPNumOut(self.width, False)
850 m.submodules.fpnum_rnz = rnz
851
852 rn = self.add_state(FPRound(self.width))
853 rn.set_inputs({"of": n1.out_of})
854 rn.set_outputs({"z": rnz})
855 rn.mod.setup(m, n1.out_z, rn.out_z, add1.out_of)
856 m.submodules.roundz = rn.mod
857
858 cor = self.add_state(FPCorrections(self.width))
859 cor.set_inputs({"z": rnz}) # XXX Z as output
860 #cor.set_outputs({"z": rnz}) # XXX Z as output
861 cor.mod.setup(m, rnz, cor.out_z)
862 m.submodules.corrections = cor.mod
863
864 pa = self.add_state(FPPack(self.width))
865 pa.set_inputs({"z": cor.out_z}) # XXX Z as output
866 pa.set_outputs({"z": cor.out_z}) # XXX Z as output
867 pa.mod.setup(m, cor.out_z, pa.out_z)
868 m.submodules.pack = pa.mod
869
870 pz = self.add_state(FPPutZ("pack_put_z"))
871 pz.set_inputs({"z": cor.out_z})
872 pz.set_outputs({"out_z": self.out_z})
873
874 pz = self.add_state(FPPutZ("put_z"))
875 pz.set_inputs({"z": z})
876 pz.set_outputs({"out_z": self.out_z})
877
878 with m.FSM() as fsm:
879
880 for state in self.states:
881 with m.State(state.state_from):
882 state.action(m)
883
884 return m
885
886
887 if __name__ == "__main__":
888 alu = FPADD(width=32)
889 main(alu, ports=alu.in_a.ports() + alu.in_b.ports() + alu.out_z.ports())
890
891
892 # works... but don't use, just do "python fname.py convert -t v"
893 #print (verilog.convert(alu, ports=[
894 # ports=alu.in_a.ports() + \
895 # alu.in_b.ports() + \
896 # alu.out_z.ports())