reorganise normalisation init: move setup function from mod to class
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat
6 from nmigen.cli import main, verilog
7
8 from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase, FPNumBase
9
10
11 class FPState(FPBase):
12 def __init__(self, state_from):
13 self.state_from = state_from
14
15 def set_inputs(self, inputs):
16 self.inputs = inputs
17 for k,v in inputs.items():
18 setattr(self, k, v)
19
20 def set_outputs(self, outputs):
21 self.outputs = outputs
22 for k,v in outputs.items():
23 setattr(self, k, v)
24
25
26 class FPGetOpMod:
27 def __init__(self, width):
28 self.in_op = FPOp(width)
29 self.out_op = FPNumIn(self.in_op, width)
30 self.out_decode = Signal(reset_less=True)
31
32 def setup(self, m, in_op, out_op, out_decode):
33 """ links module to inputs and outputs
34 """
35 m.d.comb += self.in_op.copy(in_op)
36 m.d.comb += out_op.v.eq(self.out_op.v)
37 m.d.comb += out_decode.eq(self.out_decode)
38
39 def elaborate(self, platform):
40 m = Module()
41 m.d.comb += self.out_decode.eq((self.in_op.ack) & (self.in_op.stb))
42 #m.submodules.get_op_in = self.in_op
43 m.submodules.get_op_out = self.out_op
44 with m.If(self.out_decode):
45 m.d.comb += [
46 self.out_op.decode(self.in_op.v),
47 ]
48 return m
49
50
51 class FPGetOp(FPState):
52 """ gets operand
53 """
54
55 def __init__(self, in_state, out_state, in_op, width):
56 FPState.__init__(self, in_state)
57 self.out_state = out_state
58 self.mod = FPGetOpMod(width)
59 self.in_op = in_op
60 self.out_op = FPNumIn(in_op, width)
61 self.out_decode = Signal(reset_less=True)
62
63 def action(self, m):
64 with m.If(self.out_decode):
65 m.next = self.out_state
66 m.d.sync += [
67 self.in_op.ack.eq(0),
68 self.out_op.copy(self.mod.out_op)
69 ]
70 with m.Else():
71 m.d.sync += self.in_op.ack.eq(1)
72
73
74 class FPGetOpB(FPState):
75 """ gets operand b
76 """
77
78 def __init__(self, in_b, width):
79 FPState.__init__(self, "get_b")
80 self.in_b = in_b
81 self.b = FPNumIn(self.in_b, width)
82
83 def action(self, m):
84 self.get_op(m, self.in_b, self.b, "special_cases")
85
86
87 class FPAddSpecialCasesMod:
88 """ special cases: NaNs, infs, zeros, denormalised
89 NOTE: some of these are unique to add. see "Special Operations"
90 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
91 """
92
93 def __init__(self, width):
94 self.in_a = FPNumBase(width)
95 self.in_b = FPNumBase(width)
96 self.out_z = FPNumOut(width, False)
97 self.out_do_z = Signal(reset_less=True)
98
99 def setup(self, m, in_a, in_b, out_z, out_do_z):
100 """ links module to inputs and outputs
101 """
102 m.d.comb += self.in_a.copy(in_a)
103 m.d.comb += self.in_b.copy(in_b)
104 m.d.comb += out_z.v.eq(self.out_z.v)
105 m.d.comb += out_do_z.eq(self.out_do_z)
106
107 def elaborate(self, platform):
108 m = Module()
109
110 m.submodules.sc_in_a = self.in_a
111 m.submodules.sc_in_b = self.in_b
112 m.submodules.sc_out_z = self.out_z
113
114 s_nomatch = Signal()
115 m.d.comb += s_nomatch.eq(self.in_a.s != self.in_b.s)
116
117 m_match = Signal()
118 m.d.comb += m_match.eq(self.in_a.m == self.in_b.m)
119
120 # if a is NaN or b is NaN return NaN
121 with m.If(self.in_a.is_nan | self.in_b.is_nan):
122 m.d.comb += self.out_do_z.eq(1)
123 m.d.comb += self.out_z.nan(0)
124
125 # XXX WEIRDNESS for FP16 non-canonical NaN handling
126 # under review
127
128 ## if a is zero and b is NaN return -b
129 #with m.If(a.is_zero & (a.s==0) & b.is_nan):
130 # m.d.comb += self.out_do_z.eq(1)
131 # m.d.comb += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
132
133 ## if b is zero and a is NaN return -a
134 #with m.Elif(b.is_zero & (b.s==0) & a.is_nan):
135 # m.d.comb += self.out_do_z.eq(1)
136 # m.d.comb += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
137
138 ## if a is -zero and b is NaN return -b
139 #with m.Elif(a.is_zero & (a.s==1) & b.is_nan):
140 # m.d.comb += self.out_do_z.eq(1)
141 # m.d.comb += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
142
143 ## if b is -zero and a is NaN return -a
144 #with m.Elif(b.is_zero & (b.s==1) & a.is_nan):
145 # m.d.comb += self.out_do_z.eq(1)
146 # m.d.comb += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
147
148 # if a is inf return inf (or NaN)
149 with m.Elif(self.in_a.is_inf):
150 m.d.comb += self.out_do_z.eq(1)
151 m.d.comb += self.out_z.inf(self.in_a.s)
152 # if a is inf and signs don't match return NaN
153 with m.If(self.in_b.exp_128 & s_nomatch):
154 m.d.comb += self.out_z.nan(0)
155
156 # if b is inf return inf
157 with m.Elif(self.in_b.is_inf):
158 m.d.comb += self.out_do_z.eq(1)
159 m.d.comb += self.out_z.inf(self.in_b.s)
160
161 # if a is zero and b zero return signed-a/b
162 with m.Elif(self.in_a.is_zero & self.in_b.is_zero):
163 m.d.comb += self.out_do_z.eq(1)
164 m.d.comb += self.out_z.create(self.in_a.s & self.in_b.s,
165 self.in_b.e,
166 self.in_b.m[3:-1])
167
168 # if a is zero return b
169 with m.Elif(self.in_a.is_zero):
170 m.d.comb += self.out_do_z.eq(1)
171 m.d.comb += self.out_z.create(self.in_b.s, self.in_b.e,
172 self.in_b.m[3:-1])
173
174 # if b is zero return a
175 with m.Elif(self.in_b.is_zero):
176 m.d.comb += self.out_do_z.eq(1)
177 m.d.comb += self.out_z.create(self.in_a.s, self.in_a.e,
178 self.in_a.m[3:-1])
179
180 # if a equal to -b return zero (+ve zero)
181 with m.Elif(s_nomatch & m_match & (self.in_a.e == self.in_b.e)):
182 m.d.comb += self.out_do_z.eq(1)
183 m.d.comb += self.out_z.zero(0)
184
185 # Denormalised Number checks
186 with m.Else():
187 m.d.comb += self.out_do_z.eq(0)
188
189 return m
190
191
192 class FPAddSpecialCases(FPState):
193 """ special cases: NaNs, infs, zeros, denormalised
194 NOTE: some of these are unique to add. see "Special Operations"
195 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
196 """
197
198 def __init__(self, width):
199 FPState.__init__(self, "special_cases")
200 self.mod = FPAddSpecialCasesMod(width)
201 self.out_z = FPNumOut(width, False)
202 self.out_do_z = Signal(reset_less=True)
203
204 def action(self, m):
205 with m.If(self.out_do_z):
206 m.d.sync += self.z.v.eq(self.out_z.v) # only take the output
207 m.next = "put_z"
208 with m.Else():
209 m.next = "denormalise"
210
211
212 class FPAddDeNormMod(FPState):
213
214 def __init__(self, width):
215 self.in_a = FPNumBase(width)
216 self.in_b = FPNumBase(width)
217 self.out_a = FPNumBase(width)
218 self.out_b = FPNumBase(width)
219
220 def setup(self, m, in_a, in_b, out_a, out_b):
221 """ links module to inputs and outputs
222 """
223 m.d.comb += self.in_a.copy(in_a)
224 m.d.comb += self.in_b.copy(in_b)
225 m.d.comb += out_a.copy(self.out_a)
226 m.d.comb += out_b.copy(self.out_b)
227
228 def elaborate(self, platform):
229 m = Module()
230 m.submodules.denorm_in_a = self.in_a
231 m.submodules.denorm_in_b = self.in_b
232 m.submodules.denorm_out_a = self.out_a
233 m.submodules.denorm_out_b = self.out_b
234 # hmmm, don't like repeating identical code
235 m.d.comb += self.out_a.copy(self.in_a)
236 with m.If(self.in_a.exp_n127):
237 m.d.comb += self.out_a.e.eq(self.in_a.N126) # limit a exponent
238 with m.Else():
239 m.d.comb += self.out_a.m[-1].eq(1) # set top mantissa bit
240
241 m.d.comb += self.out_b.copy(self.in_b)
242 with m.If(self.in_b.exp_n127):
243 m.d.comb += self.out_b.e.eq(self.in_b.N126) # limit a exponent
244 with m.Else():
245 m.d.comb += self.out_b.m[-1].eq(1) # set top mantissa bit
246
247 return m
248
249
250 class FPAddDeNorm(FPState):
251
252 def __init__(self, width):
253 FPState.__init__(self, "denormalise")
254 self.mod = FPAddDeNormMod(width)
255 self.out_a = FPNumBase(width)
256 self.out_b = FPNumBase(width)
257
258 def action(self, m):
259 # Denormalised Number checks
260 m.next = "align"
261 m.d.sync += self.a.copy(self.out_a)
262 m.d.sync += self.b.copy(self.out_b)
263
264
265 class FPAddAlignMultiMod(FPState):
266
267 def __init__(self, width):
268 self.in_a = FPNumBase(width)
269 self.in_b = FPNumBase(width)
270 self.out_a = FPNumIn(None, width)
271 self.out_b = FPNumIn(None, width)
272 self.exp_eq = Signal(reset_less=True)
273
274 def setup(self, m, in_a, in_b, out_a, out_b, exp_eq):
275 """ links module to inputs and outputs
276 """
277 m.d.comb += self.in_a.copy(in_a)
278 m.d.comb += self.in_b.copy(in_b)
279 m.d.comb += out_a.copy(self.out_a)
280 m.d.comb += out_b.copy(self.out_b)
281 m.d.comb += exp_eq.eq(self.exp_eq)
282
283 def elaborate(self, platform):
284 # This one however (single-cycle) will do the shift
285 # in one go.
286
287 m = Module()
288
289 #m.submodules.align_in_a = self.in_a
290 #m.submodules.align_in_b = self.in_b
291 m.submodules.align_out_a = self.out_a
292 m.submodules.align_out_b = self.out_b
293
294 # NOTE: this does *not* do single-cycle multi-shifting,
295 # it *STAYS* in the align state until exponents match
296
297 # exponent of a greater than b: shift b down
298 m.d.comb += self.exp_eq.eq(0)
299 m.d.comb += self.out_a.copy(self.in_a)
300 m.d.comb += self.out_b.copy(self.in_b)
301 agtb = Signal(reset_less=True)
302 altb = Signal(reset_less=True)
303 m.d.comb += agtb.eq(self.in_a.e > self.in_b.e)
304 m.d.comb += altb.eq(self.in_a.e < self.in_b.e)
305 with m.If(agtb):
306 m.d.comb += self.out_b.shift_down(self.in_b)
307 # exponent of b greater than a: shift a down
308 with m.Elif(altb):
309 m.d.comb += self.out_a.shift_down(self.in_a)
310 # exponents equal: move to next stage.
311 with m.Else():
312 m.d.comb += self.exp_eq.eq(1)
313 return m
314
315
316 class FPAddAlignMulti(FPState):
317
318 def __init__(self, width):
319 FPState.__init__(self, "align")
320 self.mod = FPAddAlignMultiMod(width)
321 self.out_a = FPNumIn(None, width)
322 self.out_b = FPNumIn(None, width)
323 self.exp_eq = Signal(reset_less=True)
324
325 def action(self, m):
326 m.d.sync += self.a.copy(self.out_a)
327 m.d.sync += self.b.copy(self.out_b)
328 with m.If(self.exp_eq):
329 m.next = "add_0"
330
331
332 class FPAddAlignSingleMod:
333
334 def __init__(self, width):
335 self.in_a = FPNumBase(width)
336 self.in_b = FPNumBase(width)
337 self.out_a = FPNumIn(None, width)
338 self.out_b = FPNumIn(None, width)
339 #self.out_a = FPNumBase(width)
340 #self.out_b = FPNumBase(width)
341
342 def setup(self, m, in_a, in_b, out_a, out_b):
343 """ links module to inputs and outputs
344 """
345 m.d.comb += self.in_a.copy(in_a)
346 m.d.comb += self.in_b.copy(in_b)
347 m.d.comb += out_a.copy(self.out_a)
348 m.d.comb += out_b.copy(self.out_b)
349
350 def elaborate(self, platform):
351 # This one however (single-cycle) will do the shift
352 # in one go.
353
354 m = Module()
355
356 #m.submodules.align_in_a = self.in_a
357 #m.submodules.align_in_b = self.in_b
358 m.submodules.align_out_a = self.out_a
359 m.submodules.align_out_b = self.out_b
360
361 # XXX TODO: the shifter used here is quite expensive
362 # having only one would be better
363
364 ediff = Signal((len(self.in_a.e), True), reset_less=True)
365 ediffr = Signal((len(self.in_a.e), True), reset_less=True)
366 m.d.comb += ediff.eq(self.in_a.e - self.in_b.e)
367 m.d.comb += ediffr.eq(self.in_b.e - self.in_a.e)
368 m.d.comb += self.out_a.copy(self.in_a)
369 m.d.comb += self.out_b.copy(self.in_b)
370 with m.If(ediff > 0):
371 m.d.comb += self.out_b.shift_down_multi(ediff)
372 # exponent of b greater than a: shift a down
373 with m.Elif(ediff < 0):
374 m.d.comb += self.out_a.shift_down_multi(ediffr)
375 return m
376
377
378 class FPAddAlignSingle(FPState):
379
380 def __init__(self, width):
381 FPState.__init__(self, "align")
382 self.mod = FPAddAlignSingleMod(width)
383 self.out_a = FPNumIn(None, width)
384 self.out_b = FPNumIn(None, width)
385
386 def action(self, m):
387 m.d.sync += self.a.copy(self.out_a)
388 m.d.sync += self.b.copy(self.out_b)
389 m.next = "add_0"
390
391
392 class FPAddStage0Mod:
393
394 def __init__(self, width):
395 self.in_a = FPNumBase(width)
396 self.in_b = FPNumBase(width)
397 self.in_z = FPNumBase(width, False)
398 self.out_z = FPNumBase(width, False)
399 self.out_tot = Signal(self.out_z.m_width + 4, reset_less=True)
400
401 def setup(self, m, in_a, in_b, in_z, out_z, out_tot):
402 """ links module to inputs and outputs
403 """
404 m.d.comb += self.in_a.copy(in_a)
405 m.d.comb += self.in_b.copy(in_b)
406 m.d.comb += self.in_z.copy(in_z)
407 m.d.comb += out_z.copy(self.out_z)
408 m.d.comb += out_tot.eq(self.out_tot)
409
410 def elaborate(self, platform):
411 m = Module()
412 m.submodules.add0_in_a = self.in_a
413 m.submodules.add0_in_b = self.in_b
414 #m.submodules.add0_in_z = self.in_z
415 #m.submodules.add0_out_z = self.out_z
416
417 m.d.comb += self.out_z.e.eq(self.in_a.e)
418
419 # store intermediate tests (and zero-extended mantissas)
420 seq = Signal(reset_less=True)
421 mge = Signal(reset_less=True)
422 am0 = Signal(len(self.in_a.m)+1, reset_less=True)
423 bm0 = Signal(len(self.in_b.m)+1, reset_less=True)
424 m.d.comb += [seq.eq(self.in_a.s == self.in_b.s),
425 mge.eq(self.in_a.m >= self.in_b.m),
426 am0.eq(Cat(self.in_a.m, 0)),
427 bm0.eq(Cat(self.in_b.m, 0))
428 ]
429 # same-sign (both negative or both positive) add mantissas
430 with m.If(seq):
431 m.d.comb += [
432 self.out_tot.eq(am0 + bm0),
433 self.out_z.s.eq(self.in_a.s)
434 ]
435 # a mantissa greater than b, use a
436 with m.Elif(mge):
437 m.d.comb += [
438 self.out_tot.eq(am0 - bm0),
439 self.out_z.s.eq(self.in_a.s)
440 ]
441 # b mantissa greater than a, use b
442 with m.Else():
443 m.d.comb += [
444 self.out_tot.eq(bm0 - am0),
445 self.out_z.s.eq(self.in_b.s)
446 ]
447 return m
448
449
450 class FPAddStage0(FPState):
451 """ First stage of add. covers same-sign (add) and subtract
452 special-casing when mantissas are greater or equal, to
453 give greatest accuracy.
454 """
455
456 def __init__(self, width):
457 FPState.__init__(self, "add_0")
458 self.mod = FPAddStage0Mod(width)
459 self.out_z = FPNumBase(width, False)
460 self.out_tot = Signal(self.out_z.m_width + 4, reset_less=True)
461
462 def action(self, m):
463 m.next = "add_1"
464 m.d.sync += self.z.copy(self.out_z)
465
466
467 class FPAddStage1Mod(FPState):
468 """ Second stage of add: preparation for normalisation.
469 detects when tot sum is too big (tot[27] is kinda a carry bit)
470 """
471
472 def __init__(self, width):
473 self.out_norm = Signal(reset_less=True)
474 self.in_z = FPNumBase(width, False)
475 self.in_tot = Signal(self.in_z.m_width + 4, reset_less=True)
476 self.out_z = FPNumBase(width, False)
477 self.out_of = Overflow()
478
479 def setup(self, m, in_tot, in_z, out_z, out_of):
480 """ links module to inputs and outputs
481 """
482 m.d.comb += self.in_z.copy(in_z)
483 m.d.comb += self.in_tot.eq(in_tot)
484 #m.d.comb += out_z.copy(self.out_z)
485 #m.d.comb += out_of.copy(self.out_of)
486
487 def elaborate(self, platform):
488 m = Module()
489 #m.submodules.norm1_in_overflow = self.in_of
490 #m.submodules.norm1_out_overflow = self.out_of
491 #m.submodules.norm1_in_z = self.in_z
492 #m.submodules.norm1_out_z = self.out_z
493 m.d.comb += self.out_z.copy(self.in_z)
494 # tot[27] gets set when the sum overflows. shift result down
495 with m.If(self.in_tot[-1]):
496 m.d.comb += [
497 self.out_z.m.eq(self.in_tot[4:]),
498 self.out_of.m0.eq(self.in_tot[4]),
499 self.out_of.guard.eq(self.in_tot[3]),
500 self.out_of.round_bit.eq(self.in_tot[2]),
501 self.out_of.sticky.eq(self.in_tot[1] | self.in_tot[0]),
502 self.out_z.e.eq(self.in_z.e + 1)
503 ]
504 # tot[27] zero case
505 with m.Else():
506 m.d.comb += [
507 self.out_z.m.eq(self.in_tot[3:]),
508 self.out_of.m0.eq(self.in_tot[3]),
509 self.out_of.guard.eq(self.in_tot[2]),
510 self.out_of.round_bit.eq(self.in_tot[1]),
511 self.out_of.sticky.eq(self.in_tot[0])
512 ]
513 return m
514
515
516 class FPAddStage1(FPState):
517
518 def __init__(self, width):
519 FPState.__init__(self, "add_1")
520 self.mod = FPAddStage1Mod(width)
521 self.out_z = FPNumBase(width, False)
522 self.out_of = Overflow()
523 self.norm_stb = Signal()
524
525 def action(self, m):
526 m.submodules.add1_out_overflow = self.out_of
527 m.d.sync += self.out_of.copy(self.mod.out_of)
528 m.d.sync += self.out_z.copy(self.mod.out_z)
529 m.d.sync += self.norm_stb.eq(1)
530 m.next = "normalise_1"
531
532
533 class FPNorm1Mod:
534
535 def __init__(self, width):
536 self.width = width
537 self.in_select = Signal(reset_less=True)
538 self.out_norm = Signal(reset_less=True)
539 self.in_z = FPNumBase(width, False)
540 self.in_of = Overflow()
541 self.temp_z = FPNumBase(width, False)
542 self.temp_of = Overflow()
543 self.out_z = FPNumBase(width, False)
544 self.out_of = Overflow()
545
546 def elaborate(self, platform):
547 m = Module()
548 m.submodules.norm1_out_z = self.out_z
549 m.submodules.norm1_out_overflow = self.out_of
550 m.submodules.norm1_temp_z = self.temp_z
551 m.submodules.norm1_temp_of = self.temp_of
552 m.submodules.norm1_in_z = self.in_z
553 m.submodules.norm1_in_overflow = self.in_of
554 in_z = FPNumBase(self.width, False)
555 in_of = Overflow()
556 m.submodules.norm1_insel_z = in_z
557 m.submodules.norm1_insel_overflow = in_of
558 # select which of temp or in z/of to use
559 with m.If(self.in_select):
560 m.d.comb += in_z.copy(self.in_z)
561 m.d.comb += in_of.copy(self.in_of)
562 with m.Else():
563 m.d.comb += in_z.copy(self.temp_z)
564 m.d.comb += in_of.copy(self.temp_of)
565 # initialise out from in (overridden below)
566 m.d.comb += self.out_z.copy(in_z)
567 m.d.comb += self.out_of.copy(in_of)
568 # normalisation increase/decrease conditions
569 decrease = Signal(reset_less=True)
570 increase = Signal(reset_less=True)
571 m.d.comb += decrease.eq(in_z.m_msbzero & in_z.exp_gt_n126)
572 m.d.comb += increase.eq(in_z.exp_lt_n126)
573 m.d.comb += self.out_norm.eq(decrease | increase) # loop-end condition
574 # decrease exponent
575 with m.If(decrease):
576 m.d.comb += [
577 self.out_z.e.eq(in_z.e - 1), # DECREASE exponent
578 self.out_z.m.eq(in_z.m << 1), # shift mantissa UP
579 self.out_z.m[0].eq(in_of.guard), # steal guard (was tot[2])
580 self.out_of.guard.eq(in_of.round_bit), # round (was tot[1])
581 self.out_of.round_bit.eq(0), # reset round bit
582 self.out_of.m0.eq(in_of.guard),
583 ]
584 # increase exponent
585 with m.If(increase):
586 m.d.comb += [
587 self.out_z.e.eq(in_z.e + 1), # INCREASE exponent
588 self.out_z.m.eq(in_z.m >> 1), # shift mantissa DOWN
589 self.out_of.guard.eq(in_z.m[0]),
590 self.out_of.m0.eq(in_z.m[1]),
591 self.out_of.round_bit.eq(in_of.guard),
592 self.out_of.sticky.eq(in_of.sticky | in_of.round_bit)
593 ]
594
595 return m
596
597
598 class FPNorm1(FPState):
599
600 def __init__(self, width):
601 FPState.__init__(self, "normalise_1")
602 self.mod = FPNorm1Mod(width)
603 self.stb = Signal(reset_less=True)
604 self.ack = Signal(reset=0, reset_less=True)
605 self.out_norm = Signal(reset_less=True)
606 self.in_accept = Signal(reset_less=True)
607 self.temp_z = FPNumBase(width)
608 self.temp_of = Overflow()
609 self.out_z = FPNumBase(width)
610 self.out_of = Overflow()
611
612 def setup(self, m, in_z, in_of, norm_stb):
613 """ links module to inputs and outputs
614 """
615 m.submodules.normalise_1 = self.mod
616
617 m.d.comb += self.mod.in_z.copy(in_z)
618 m.d.comb += self.mod.in_of.copy(in_of)
619
620 m.d.comb += self.mod.in_select.eq(self.in_accept)
621 m.d.comb += self.mod.temp_z.copy(self.temp_z)
622 m.d.comb += self.mod.temp_of.copy(self.temp_of)
623
624 m.d.comb += self.out_z.copy(self.mod.out_z)
625 m.d.comb += self.out_of.copy(self.mod.out_of)
626 m.d.comb += self.out_norm.eq(self.mod.out_norm)
627
628 m.d.comb += self.stb.eq(norm_stb)
629 m.d.sync += self.ack.eq(0) # sets to zero when not in normalise_1 state
630
631 def action(self, m):
632 m.d.comb += self.in_accept.eq((~self.ack) & (self.stb))
633 m.d.sync += self.of.copy(self.out_of)
634 m.d.sync += self.z.copy(self.out_z)
635 m.d.sync += self.temp_of.copy(self.out_of)
636 m.d.sync += self.temp_z.copy(self.out_z)
637 with m.If(self.out_norm):
638 with m.If(self.in_accept):
639 m.d.sync += [
640 self.ack.eq(1),
641 ]
642 with m.Else():
643 m.d.sync += self.ack.eq(0)
644 with m.Else():
645 # normalisation not required (or done).
646 m.next = "round"
647 m.d.sync += self.ack.eq(1)
648
649
650 class FPRoundMod:
651
652 def __init__(self, width):
653 self.in_roundz = Signal(reset_less=True)
654 self.in_z = FPNumBase(width, False)
655 self.out_z = FPNumBase(width, False)
656
657 def setup(self, m, in_z, out_z, in_of):
658 """ links module to inputs and outputs
659 """
660 m.d.comb += self.in_z.copy(in_z)
661 m.d.comb += out_z.copy(self.out_z)
662 m.d.comb += self.in_roundz.eq(in_of.roundz)
663
664 def elaborate(self, platform):
665 m = Module()
666 m.d.comb += self.out_z.copy(self.in_z)
667 with m.If(self.in_roundz):
668 m.d.comb += self.out_z.m.eq(self.in_z.m + 1) # mantissa rounds up
669 with m.If(self.in_z.m == self.in_z.m1s): # all 1s
670 m.d.comb += self.out_z.e.eq(self.in_z.e + 1) # exponent up
671 return m
672
673
674 class FPRound(FPState):
675
676 def __init__(self, width):
677 FPState.__init__(self, "round")
678 self.mod = FPRoundMod(width)
679 self.out_z = FPNumBase(width)
680
681 def action(self, m):
682 m.d.sync += self.z.copy(self.out_z)
683 m.next = "corrections"
684
685
686 class FPCorrectionsMod:
687
688 def __init__(self, width):
689 self.in_z = FPNumOut(width, False)
690 self.out_z = FPNumOut(width, False)
691
692 def setup(self, m, in_z, out_z):
693 """ links module to inputs and outputs
694 """
695 m.d.comb += self.in_z.copy(in_z)
696 m.d.comb += out_z.copy(self.out_z)
697
698 def elaborate(self, platform):
699 m = Module()
700 m.submodules.corr_in_z = self.in_z
701 m.submodules.corr_out_z = self.out_z
702 m.d.comb += self.out_z.copy(self.in_z)
703 with m.If(self.in_z.is_denormalised):
704 m.d.comb += self.out_z.e.eq(self.in_z.N127)
705
706 # with m.If(self.in_z.is_overflowed):
707 # m.d.comb += self.out_z.inf(self.in_z.s)
708 # with m.Else():
709 # m.d.comb += self.out_z.create(self.in_z.s, self.in_z.e, self.in_z.m)
710 return m
711
712
713 class FPCorrections(FPState):
714
715 def __init__(self, width):
716 FPState.__init__(self, "corrections")
717 self.mod = FPCorrectionsMod(width)
718 self.out_z = FPNumBase(width)
719
720 def action(self, m):
721 m.d.sync += self.z.copy(self.out_z)
722 m.next = "pack"
723
724
725 class FPPackMod:
726
727 def __init__(self, width):
728 self.in_z = FPNumOut(width, False)
729 self.out_z = FPNumOut(width, False)
730
731 def setup(self, m, in_z, out_z):
732 """ links module to inputs and outputs
733 """
734 m.d.comb += self.in_z.copy(in_z)
735 m.d.comb += out_z.v.eq(self.out_z.v)
736
737 def elaborate(self, platform):
738 m = Module()
739 m.submodules.pack_in_z = self.in_z
740 with m.If(self.in_z.is_overflowed):
741 m.d.comb += self.out_z.inf(self.in_z.s)
742 with m.Else():
743 m.d.comb += self.out_z.create(self.in_z.s, self.in_z.e, self.in_z.m)
744 return m
745
746
747 class FPPack(FPState):
748
749 def __init__(self, width):
750 FPState.__init__(self, "pack")
751 self.mod = FPPackMod(width)
752 self.out_z = FPNumOut(width, False)
753
754 def action(self, m):
755 m.d.sync += self.z.v.eq(self.out_z.v)
756 m.next = "pack_put_z"
757
758
759 class FPPutZ(FPState):
760
761 def action(self, m):
762 self.put_z(m, self.z, self.out_z, "get_a")
763
764
765 class FPADD:
766
767 def __init__(self, width, single_cycle=False):
768 self.width = width
769 self.single_cycle = single_cycle
770
771 self.in_a = FPOp(width)
772 self.in_b = FPOp(width)
773 self.out_z = FPOp(width)
774
775 self.states = []
776
777 def add_state(self, state):
778 self.states.append(state)
779 return state
780
781 def get_fragment(self, platform=None):
782 """ creates the HDL code-fragment for FPAdd
783 """
784 m = Module()
785
786 # Latches
787 z = FPNumOut(self.width, False)
788 m.submodules.fpnum_z = z
789
790 w = z.m_width + 4
791
792 geta = self.add_state(FPGetOp("get_a", "get_b",
793 self.in_a, self.width))
794 a = geta.out_op
795 geta.mod.setup(m, self.in_a, geta.out_op, geta.out_decode)
796 m.submodules.get_a = geta.mod
797
798 getb = self.add_state(FPGetOp("get_b", "special_cases",
799 self.in_b, self.width))
800 b = getb.out_op
801 getb.mod.setup(m, self.in_b, getb.out_op, getb.out_decode)
802 m.submodules.get_b = getb.mod
803
804 sc = self.add_state(FPAddSpecialCases(self.width))
805 sc.set_inputs({"a": a, "b": b})
806 sc.set_outputs({"z": z})
807 sc.mod.setup(m, a, b, sc.out_z, sc.out_do_z)
808 m.submodules.specialcases = sc.mod
809
810 dn = self.add_state(FPAddDeNorm(self.width))
811 dn.set_inputs({"a": a, "b": b})
812 #dn.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
813 dn.mod.setup(m, a, b, dn.out_a, dn.out_b)
814 m.submodules.denormalise = dn.mod
815
816 if self.single_cycle:
817 alm = self.add_state(FPAddAlignSingle(self.width))
818 alm.set_inputs({"a": a, "b": b})
819 alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
820 alm.mod.setup(m, a, b, alm.out_a, alm.out_b)
821 else:
822 alm = self.add_state(FPAddAlignMulti(self.width))
823 alm.set_inputs({"a": a, "b": b})
824 #alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
825 alm.mod.setup(m, a, b, alm.out_a, alm.out_b, alm.exp_eq)
826 m.submodules.align = alm.mod
827
828 az1 = FPNumOut(self.width, False)
829 m.submodules.fpnum_az1 = az1
830
831 add0 = self.add_state(FPAddStage0(self.width))
832 add0.set_inputs({"a": alm.out_a, "b": alm.out_b})
833 add0.set_outputs({"z": az1})
834 add0.mod.setup(m, alm.out_a, alm.out_b, az1, add0.out_z, add0.out_tot)
835 m.submodules.add0 = add0.mod
836
837 add1 = self.add_state(FPAddStage1(self.width))
838 #add1.set_outputs({"z": az}) # XXX Z as output
839 add1.mod.setup(m, add0.out_tot, az1, None, add1.out_of)
840 m.submodules.add1 = add1.mod
841 m.d.sync += add1.norm_stb.eq(0) # sets to zero when not in add1 state
842
843 az = add1.out_z
844
845 n1 = self.add_state(FPNorm1(self.width))
846 n1.set_inputs({"z": az, "of": add1.out_of}) # XXX Z as output
847 n1.set_outputs({"z": az}) # XXX Z as output
848 n1.setup(m, az, add1.out_of, add1.norm_stb)
849
850 rnz = FPNumOut(self.width, False)
851 m.submodules.fpnum_rnz = rnz
852
853 rn = self.add_state(FPRound(self.width))
854 rn.set_inputs({"of": n1.out_of})
855 rn.set_outputs({"z": rnz})
856 rn.mod.setup(m, n1.out_z, rn.out_z, add1.out_of)
857 m.submodules.roundz = rn.mod
858
859 cor = self.add_state(FPCorrections(self.width))
860 cor.set_inputs({"z": rnz}) # XXX Z as output
861 #cor.set_outputs({"z": rnz}) # XXX Z as output
862 cor.mod.setup(m, rnz, cor.out_z)
863 m.submodules.corrections = cor.mod
864
865 pa = self.add_state(FPPack(self.width))
866 pa.set_inputs({"z": cor.out_z}) # XXX Z as output
867 pa.set_outputs({"z": cor.out_z}) # XXX Z as output
868 pa.mod.setup(m, cor.out_z, pa.out_z)
869 m.submodules.pack = pa.mod
870
871 pz = self.add_state(FPPutZ("pack_put_z"))
872 pz.set_inputs({"z": cor.out_z})
873 pz.set_outputs({"out_z": self.out_z})
874
875 pz = self.add_state(FPPutZ("put_z"))
876 pz.set_inputs({"z": z})
877 pz.set_outputs({"out_z": self.out_z})
878
879 with m.FSM() as fsm:
880
881 for state in self.states:
882 with m.State(state.state_from):
883 state.action(m)
884
885 return m
886
887
888 if __name__ == "__main__":
889 alu = FPADD(width=32)
890 main(alu, ports=alu.in_a.ports() + alu.in_b.ports() + alu.out_z.ports())
891
892
893 # works... but don't use, just do "python fname.py convert -t v"
894 #print (verilog.convert(alu, ports=[
895 # ports=alu.in_a.ports() + \
896 # alu.in_b.ports() + \
897 # alu.out_z.ports())