rename BufferedPipeline2 to SimpleHandshake
[ieee754fpu.git] / src / add / singlepipe.py
1 """ Pipeline and BufferedPipeline implementation, conforming to the same API.
2 For multi-input and multi-output variants, see multipipe.
3
4 eq:
5 --
6
7 a strategically very important function that is identical in function
8 to nmigen's Signal.eq function, except it may take objects, or a list
9 of objects, or a tuple of objects, and where objects may also be
10 Records.
11
12 Stage API:
13 ---------
14
15 stage requires compliance with a strict API that may be
16 implemented in several means, including as a static class.
17 the methods of a stage instance must be as follows:
18
19 * ispec() - Input data format specification
20 returns an object or a list or tuple of objects, or
21 a Record, each object having an "eq" function which
22 takes responsibility for copying by assignment all
23 sub-objects
24 * ospec() - Output data format specification
25 requirements as for ospec
26 * process(m, i) - Processes an ispec-formatted object
27 returns a combinatorial block of a result that
28 may be assigned to the output, by way of the "eq"
29 function
30 * setup(m, i) - Optional function for setting up submodules
31 may be used for more complex stages, to link
32 the input (i) to submodules. must take responsibility
33 for adding those submodules to the module (m).
34 the submodules must be combinatorial blocks and
35 must have their inputs and output linked combinatorially.
36
37 Both StageCls (for use with non-static classes) and Stage (for use
38 by static classes) are abstract classes from which, for convenience
39 and as a courtesy to other developers, anything conforming to the
40 Stage API may *choose* to derive.
41
42 StageChain:
43 ----------
44
45 A useful combinatorial wrapper around stages that chains them together
46 and then presents a Stage-API-conformant interface. By presenting
47 the same API as the stages it wraps, it can clearly be used recursively.
48
49 RecordBasedStage:
50 ----------------
51
52 A convenience class that takes an input shape, output shape, a
53 "processing" function and an optional "setup" function. Honestly
54 though, there's not much more effort to just... create a class
55 that returns a couple of Records (see ExampleAddRecordStage in
56 examples).
57
58 PassThroughStage:
59 ----------------
60
61 A convenience class that takes a single function as a parameter,
62 that is chain-called to create the exact same input and output spec.
63 It has a process() function that simply returns its input.
64
65 Instances of this class are completely redundant if handed to
66 StageChain, however when passed to UnbufferedPipeline they
67 can be used to introduce a single clock delay.
68
69 ControlBase:
70 -----------
71
72 The base class for pipelines. Contains previous and next ready/valid/data.
73 Also has an extremely useful "connect" function that can be used to
74 connect a chain of pipelines and present the exact same prev/next
75 ready/valid/data API.
76
77 UnbufferedPipeline:
78 ------------------
79
80 A simple stalling clock-synchronised pipeline that has no buffering
81 (unlike BufferedPipeline). Data flows on *every* clock cycle when
82 the conditions are right (this is nominally when the input is valid
83 and the output is ready).
84
85 A stall anywhere along the line will result in a stall back-propagating
86 down the entire chain. The BufferedPipeline by contrast will buffer
87 incoming data, allowing previous stages one clock cycle's grace before
88 also having to stall.
89
90 An advantage of the UnbufferedPipeline over the Buffered one is
91 that the amount of logic needed (number of gates) is greatly
92 reduced (no second set of buffers basically)
93
94 The disadvantage of the UnbufferedPipeline is that the valid/ready
95 logic, if chained together, is *combinatorial*, resulting in
96 progressively larger gate delay.
97
98 RegisterPipeline:
99 ----------------
100
101 A convenience class that, because UnbufferedPipeline introduces a single
102 clock delay, when its stage is a PassThroughStage, it results in a Pipeline
103 stage that, duh, delays its (unmodified) input by one clock cycle.
104
105 BufferedPipeline:
106 ----------------
107
108 nmigen implementation of buffered pipeline stage, based on zipcpu:
109 https://zipcpu.com/blog/2017/08/14/strategies-for-pipelining.html
110
111 this module requires quite a bit of thought to understand how it works
112 (and why it is needed in the first place). reading the above is
113 *strongly* recommended.
114
115 unlike john dawson's IEEE754 FPU STB/ACK signalling, which requires
116 the STB / ACK signals to raise and lower (on separate clocks) before
117 data may proceeed (thus only allowing one piece of data to proceed
118 on *ALTERNATE* cycles), the signalling here is a true pipeline
119 where data will flow on *every* clock when the conditions are right.
120
121 input acceptance conditions are when:
122 * incoming previous-stage strobe (p.i_valid) is HIGH
123 * outgoing previous-stage ready (p.o_ready) is LOW
124
125 output transmission conditions are when:
126 * outgoing next-stage strobe (n.o_valid) is HIGH
127 * outgoing next-stage ready (n.i_ready) is LOW
128
129 the tricky bit is when the input has valid data and the output is not
130 ready to accept it. if it wasn't for the clock synchronisation, it
131 would be possible to tell the input "hey don't send that data, we're
132 not ready". unfortunately, it's not possible to "change the past":
133 the previous stage *has no choice* but to pass on its data.
134
135 therefore, the incoming data *must* be accepted - and stored: that
136 is the responsibility / contract that this stage *must* accept.
137 on the same clock, it's possible to tell the input that it must
138 not send any more data. this is the "stall" condition.
139
140 we now effectively have *two* possible pieces of data to "choose" from:
141 the buffered data, and the incoming data. the decision as to which
142 to process and output is based on whether we are in "stall" or not.
143 i.e. when the next stage is no longer ready, the output comes from
144 the buffer if a stall had previously occurred, otherwise it comes
145 direct from processing the input.
146
147 this allows us to respect a synchronous "travelling STB" with what
148 dan calls a "buffered handshake".
149
150 it's quite a complex state machine!
151
152 SimpleHandshake
153 ---------------
154
155 Synchronised pipeline, Based on:
156 https://github.com/ZipCPU/dbgbus/blob/master/hexbus/rtl/hbdeword.v
157 """
158
159 from nmigen import Signal, Cat, Const, Mux, Module, Value
160 from nmigen.cli import verilog, rtlil
161 from nmigen.hdl.ast import ArrayProxy
162 from nmigen.hdl.rec import Record, Layout
163
164 from abc import ABCMeta, abstractmethod
165 from collections.abc import Sequence
166
167
168 class PrevControl:
169 """ contains signals that come *from* the previous stage (both in and out)
170 * i_valid: previous stage indicating all incoming data is valid.
171 may be a multi-bit signal, where all bits are required
172 to be asserted to indicate "valid".
173 * o_ready: output to next stage indicating readiness to accept data
174 * i_data : an input - added by the user of this class
175 """
176
177 def __init__(self, i_width=1, stage_ctl=False):
178 self.stage_ctl = stage_ctl
179 self.i_valid = Signal(i_width, name="p_i_valid") # prev >>in self
180 self._o_ready = Signal(name="p_o_ready") # prev <<out self
181 self.i_data = None # XXX MUST BE ADDED BY USER
182 if stage_ctl:
183 self.s_o_ready = Signal(name="p_s_o_rdy") # prev <<out self
184
185 @property
186 def o_ready(self):
187 """ public-facing API: indicates (externally) that stage is ready
188 """
189 if self.stage_ctl:
190 return self.s_o_ready # set dynamically by stage
191 return self._o_ready # return this when not under dynamic control
192
193 def _connect_in(self, prev):
194 """ internal helper function to connect stage to an input source.
195 do not use to connect stage-to-stage!
196 """
197 return [self.i_valid.eq(prev.i_valid_test),
198 prev.o_ready.eq(self.o_ready),
199 eq(self.i_data, prev.i_data),
200 ]
201
202 @property
203 def i_valid_test(self):
204 vlen = len(self.i_valid)
205 if vlen > 1:
206 # multi-bit case: valid only when i_valid is all 1s
207 all1s = Const(-1, (len(self.i_valid), False))
208 i_valid = (self.i_valid == all1s)
209 else:
210 # single-bit i_valid case
211 i_valid = self.i_valid
212
213 # when stage indicates not ready, incoming data
214 # must "appear" to be not ready too
215 if self.stage_ctl:
216 i_valid = i_valid & self.s_o_ready
217
218 return i_valid
219
220
221 class NextControl:
222 """ contains the signals that go *to* the next stage (both in and out)
223 * o_valid: output indicating to next stage that data is valid
224 * i_ready: input from next stage indicating that it can accept data
225 * o_data : an output - added by the user of this class
226 """
227 def __init__(self, stage_ctl=False):
228 self.stage_ctl = stage_ctl
229 self.o_valid = Signal(name="n_o_valid") # self out>> next
230 self.i_ready = Signal(name="n_i_ready") # self <<in next
231 self.o_data = None # XXX MUST BE ADDED BY USER
232 #if self.stage_ctl:
233 self.d_valid = Signal(reset=1) # INTERNAL (data valid)
234
235 @property
236 def i_ready_test(self):
237 if self.stage_ctl:
238 return self.i_ready & self.d_valid
239 return self.i_ready
240
241 def connect_to_next(self, nxt):
242 """ helper function to connect to the next stage data/valid/ready.
243 data/valid is passed *TO* nxt, and ready comes *IN* from nxt.
244 use this when connecting stage-to-stage
245 """
246 return [nxt.i_valid.eq(self.o_valid),
247 self.i_ready.eq(nxt.o_ready),
248 eq(nxt.i_data, self.o_data),
249 ]
250
251 def _connect_out(self, nxt):
252 """ internal helper function to connect stage to an output source.
253 do not use to connect stage-to-stage!
254 """
255 return [nxt.o_valid.eq(self.o_valid),
256 self.i_ready.eq(nxt.i_ready_test),
257 eq(nxt.o_data, self.o_data),
258 ]
259
260
261 def eq(o, i):
262 """ makes signals equal: a helper routine which identifies if it is being
263 passed a list (or tuple) of objects, or signals, or Records, and calls
264 the objects' eq function.
265
266 complex objects (classes) can be used: they must follow the
267 convention of having an eq member function, which takes the
268 responsibility of further calling eq and returning a list of
269 eq assignments
270
271 Record is a special (unusual, recursive) case, where the input may be
272 specified as a dictionary (which may contain further dictionaries,
273 recursively), where the field names of the dictionary must match
274 the Record's field spec. Alternatively, an object with the same
275 member names as the Record may be assigned: it does not have to
276 *be* a Record.
277
278 ArrayProxy is also special-cased, it's a bit messy: whilst ArrayProxy
279 has an eq function, the object being assigned to it (e.g. a python
280 object) might not. despite the *input* having an eq function,
281 that doesn't help us, because it's the *ArrayProxy* that's being
282 assigned to. so.... we cheat. use the ports() function of the
283 python object, enumerate them, find out the list of Signals that way,
284 and assign them.
285 """
286 res = []
287 if isinstance(o, dict):
288 for (k, v) in o.items():
289 print ("d-eq", v, i[k])
290 res.append(v.eq(i[k]))
291 return res
292
293 if not isinstance(o, Sequence):
294 o, i = [o], [i]
295 for (ao, ai) in zip(o, i):
296 #print ("eq", ao, ai)
297 if isinstance(ao, Record):
298 for idx, (field_name, field_shape, _) in enumerate(ao.layout):
299 if isinstance(field_shape, Layout):
300 val = ai.fields
301 else:
302 val = ai
303 if hasattr(val, field_name): # check for attribute
304 val = getattr(val, field_name)
305 else:
306 val = val[field_name] # dictionary-style specification
307 rres = eq(ao.fields[field_name], val)
308 res += rres
309 elif isinstance(ao, ArrayProxy) and not isinstance(ai, Value):
310 for p in ai.ports():
311 op = getattr(ao, p.name)
312 #print (op, p, p.name)
313 rres = op.eq(p)
314 if not isinstance(rres, Sequence):
315 rres = [rres]
316 res += rres
317 else:
318 rres = ao.eq(ai)
319 if not isinstance(rres, Sequence):
320 rres = [rres]
321 res += rres
322 return res
323
324
325 class StageCls(metaclass=ABCMeta):
326 """ Class-based "Stage" API. requires instantiation (after derivation)
327
328 see "Stage API" above.. Note: python does *not* require derivation
329 from this class. All that is required is that the pipelines *have*
330 the functions listed in this class. Derivation from this class
331 is therefore merely a "courtesy" to maintainers.
332 """
333 @abstractmethod
334 def ispec(self): pass # REQUIRED
335 @abstractmethod
336 def ospec(self): pass # REQUIRED
337 #@abstractmethod
338 #def setup(self, m, i): pass # OPTIONAL
339 @abstractmethod
340 def process(self, i): pass # REQUIRED
341
342
343 class Stage(metaclass=ABCMeta):
344 """ Static "Stage" API. does not require instantiation (after derivation)
345
346 see "Stage API" above. Note: python does *not* require derivation
347 from this class. All that is required is that the pipelines *have*
348 the functions listed in this class. Derivation from this class
349 is therefore merely a "courtesy" to maintainers.
350 """
351 @staticmethod
352 @abstractmethod
353 def ispec(): pass
354
355 @staticmethod
356 @abstractmethod
357 def ospec(): pass
358
359 #@staticmethod
360 #@abstractmethod
361 #def setup(m, i): pass
362
363 @staticmethod
364 @abstractmethod
365 def process(i): pass
366
367
368 class RecordBasedStage(Stage):
369 """ convenience class which provides a Records-based layout.
370 honestly it's a lot easier just to create a direct Records-based
371 class (see ExampleAddRecordStage)
372 """
373 def __init__(self, in_shape, out_shape, processfn, setupfn=None):
374 self.in_shape = in_shape
375 self.out_shape = out_shape
376 self.__process = processfn
377 self.__setup = setupfn
378 def ispec(self): return Record(self.in_shape)
379 def ospec(self): return Record(self.out_shape)
380 def process(seif, i): return self.__process(i)
381 def setup(seif, m, i): return self.__setup(m, i)
382
383
384 class StageChain(StageCls):
385 """ pass in a list of stages, and they will automatically be
386 chained together via their input and output specs into a
387 combinatorial chain.
388
389 the end result basically conforms to the exact same Stage API.
390
391 * input to this class will be the input of the first stage
392 * output of first stage goes into input of second
393 * output of second goes into input into third (etc. etc.)
394 * the output of this class will be the output of the last stage
395 """
396 def __init__(self, chain, specallocate=False):
397 self.chain = chain
398 self.specallocate = specallocate
399
400 def ispec(self):
401 return self.chain[0].ispec()
402
403 def ospec(self):
404 return self.chain[-1].ospec()
405
406 def setup(self, m, i):
407 for (idx, c) in enumerate(self.chain):
408 if hasattr(c, "setup"):
409 c.setup(m, i) # stage may have some module stuff
410 if self.specallocate:
411 o = self.chain[idx].ospec() # last assignment survives
412 m.d.comb += eq(o, c.process(i)) # process input into "o"
413 else:
414 o = c.process(i) # store input into "o"
415 if idx != len(self.chain)-1:
416 if self.specallocate:
417 ni = self.chain[idx+1].ispec() # new input on next loop
418 m.d.comb += eq(ni, o) # assign to next input
419 i = ni
420 else:
421 i = o
422 self.o = o # last loop is the output
423
424 def process(self, i):
425 return self.o # conform to Stage API: return last-loop output
426
427
428 class ControlBase:
429 """ Common functions for Pipeline API
430 """
431 def __init__(self, in_multi=None, stage_ctl=False):
432 """ Base class containing ready/valid/data to previous and next stages
433
434 * p: contains ready/valid to the previous stage
435 * n: contains ready/valid to the next stage
436
437 Except when calling Controlbase.connect(), user must also:
438 * add i_data member to PrevControl (p) and
439 * add o_data member to NextControl (n)
440 """
441 # set up input and output IO ACK (prev/next ready/valid)
442 self.p = PrevControl(in_multi, stage_ctl)
443 self.n = NextControl(stage_ctl)
444
445 def connect_to_next(self, nxt):
446 """ helper function to connect to the next stage data/valid/ready.
447 """
448 return self.n.connect_to_next(nxt.p)
449
450 def _connect_in(self, prev):
451 """ internal helper function to connect stage to an input source.
452 do not use to connect stage-to-stage!
453 """
454 return self.p._connect_in(prev.p)
455
456 def _connect_out(self, nxt):
457 """ internal helper function to connect stage to an output source.
458 do not use to connect stage-to-stage!
459 """
460 return self.n._connect_out(nxt.n)
461
462 def connect(self, pipechain):
463 """ connects a chain (list) of Pipeline instances together and
464 links them to this ControlBase instance:
465
466 in <----> self <---> out
467 | ^
468 v |
469 [pipe1, pipe2, pipe3, pipe4]
470 | ^ | ^ | ^
471 v | v | v |
472 out---in out--in out---in
473
474 Also takes care of allocating i_data/o_data, by looking up
475 the data spec for each end of the pipechain. i.e It is NOT
476 necessary to allocate self.p.i_data or self.n.o_data manually:
477 this is handled AUTOMATICALLY, here.
478
479 Basically this function is the direct equivalent of StageChain,
480 except that unlike StageChain, the Pipeline logic is followed.
481
482 Just as StageChain presents an object that conforms to the
483 Stage API from a list of objects that also conform to the
484 Stage API, an object that calls this Pipeline connect function
485 has the exact same pipeline API as the list of pipline objects
486 it is called with.
487
488 Thus it becomes possible to build up larger chains recursively.
489 More complex chains (multi-input, multi-output) will have to be
490 done manually.
491 """
492 eqs = [] # collated list of assignment statements
493
494 # connect inter-chain
495 for i in range(len(pipechain)-1):
496 pipe1 = pipechain[i]
497 pipe2 = pipechain[i+1]
498 eqs += pipe1.connect_to_next(pipe2)
499
500 # connect front of chain to ourselves
501 front = pipechain[0]
502 self.p.i_data = front.stage.ispec()
503 eqs += front._connect_in(self)
504
505 # connect end of chain to ourselves
506 end = pipechain[-1]
507 self.n.o_data = end.stage.ospec()
508 eqs += end._connect_out(self)
509
510 return eqs
511
512 def set_input(self, i):
513 """ helper function to set the input data
514 """
515 return eq(self.p.i_data, i)
516
517 def ports(self):
518 res = [self.p.i_valid, self.n.i_ready,
519 self.n.o_valid, self.p.o_ready,
520 ]
521 if hasattr(self.p.i_data, "ports"):
522 res += self.p.i_data.ports()
523 else:
524 res += self.p.i_data
525 if hasattr(self.n.o_data, "ports"):
526 res += self.n.o_data.ports()
527 else:
528 res += self.n.o_data
529 return res
530
531 def _elaborate(self, platform):
532 """ handles case where stage has dynamic ready/valid functions
533 """
534 m = Module()
535 if not self.p.stage_ctl:
536 return m
537
538 # intercept the previous (outgoing) "ready", combine with stage ready
539 m.d.comb += self.p.s_o_ready.eq(self.p._o_ready & self.stage.d_ready)
540
541 # intercept the next (incoming) "ready" and combine it with data valid
542 sdv = self.stage.d_valid(self.n.i_ready)
543 m.d.comb += self.n.d_valid.eq(self.n.i_ready & sdv)
544
545 return m
546
547
548 class BufferedPipeline(ControlBase):
549 """ buffered pipeline stage. data and strobe signals travel in sync.
550 if ever the input is ready and the output is not, processed data
551 is shunted in a temporary register.
552
553 Argument: stage. see Stage API above
554
555 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
556 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
557 stage-1 p.i_data >>in stage n.o_data out>> stage+1
558 | |
559 process --->----^
560 | |
561 +-- r_data ->-+
562
563 input data p.i_data is read (only), is processed and goes into an
564 intermediate result store [process()]. this is updated combinatorially.
565
566 in a non-stall condition, the intermediate result will go into the
567 output (update_output). however if ever there is a stall, it goes
568 into r_data instead [update_buffer()].
569
570 when the non-stall condition is released, r_data is the first
571 to be transferred to the output [flush_buffer()], and the stall
572 condition cleared.
573
574 on the next cycle (as long as stall is not raised again) the
575 input may begin to be processed and transferred directly to output.
576
577 """
578 def __init__(self, stage, stage_ctl=False):
579 ControlBase.__init__(self, stage_ctl=stage_ctl)
580 self.stage = stage
581
582 # set up the input and output data
583 self.p.i_data = stage.ispec() # input type
584 self.n.o_data = stage.ospec()
585
586 def elaborate(self, platform):
587
588 self.m = ControlBase._elaborate(self, platform)
589
590 result = self.stage.ospec()
591 r_data = self.stage.ospec()
592 if hasattr(self.stage, "setup"):
593 self.stage.setup(self.m, self.p.i_data)
594
595 # establish some combinatorial temporaries
596 o_n_validn = Signal(reset_less=True)
597 n_i_ready = Signal(reset_less=True, name="n_i_rdy_data")
598 i_p_valid_o_p_ready = Signal(reset_less=True)
599 p_i_valid = Signal(reset_less=True)
600 self.m.d.comb += [p_i_valid.eq(self.p.i_valid_test),
601 o_n_validn.eq(~self.n.o_valid),
602 i_p_valid_o_p_ready.eq(p_i_valid & self.p.o_ready),
603 n_i_ready.eq(self.n.i_ready_test),
604 ]
605
606 # store result of processing in combinatorial temporary
607 self.m.d.comb += eq(result, self.stage.process(self.p.i_data))
608
609 # if not in stall condition, update the temporary register
610 with self.m.If(self.p.o_ready): # not stalled
611 self.m.d.sync += eq(r_data, result) # update buffer
612
613 with self.m.If(n_i_ready): # next stage is ready
614 with self.m.If(self.p._o_ready): # not stalled
615 # nothing in buffer: send (processed) input direct to output
616 self.m.d.sync += [self.n.o_valid.eq(p_i_valid),
617 eq(self.n.o_data, result), # update output
618 ]
619 with self.m.Else(): # p.o_ready is false, and data in buffer
620 # Flush the [already processed] buffer to the output port.
621 self.m.d.sync += [self.n.o_valid.eq(1), # reg empty
622 eq(self.n.o_data, r_data), # flush buffer
623 self.p._o_ready.eq(1), # clear stall
624 ]
625 # ignore input, since p.o_ready is also false.
626
627 # (n.i_ready) is false here: next stage is ready
628 with self.m.Elif(o_n_validn): # next stage being told "ready"
629 self.m.d.sync += [self.n.o_valid.eq(p_i_valid),
630 self.p._o_ready.eq(1), # Keep the buffer empty
631 eq(self.n.o_data, result), # set output data
632 ]
633
634 # (n.i_ready) false and (n.o_valid) true:
635 with self.m.Elif(i_p_valid_o_p_ready):
636 # If next stage *is* ready, and not stalled yet, accept input
637 self.m.d.sync += self.p._o_ready.eq(~(p_i_valid & self.n.o_valid))
638
639 return self.m
640
641
642 class SimpleHandshake(ControlBase):
643 """ simple handshake control. data and strobe signals travel in sync.
644 implements the protocol used by Wishbone and AXI4.
645
646 Argument: stage. see Stage API above
647
648 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
649 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
650 stage-1 p.i_data >>in stage n.o_data out>> stage+1
651 | |
652 process --->----^
653 | |
654 +-- r_data ->-+
655
656 input data p.i_data is read (only), is processed and goes into an
657 intermediate result store [process()]. this is updated combinatorially.
658
659 in a non-stall condition, the intermediate result will go into the
660 output (update_output). however if ever there is a stall, it goes
661 into r_data instead [update_buffer()].
662
663 when the non-stall condition is released, r_data is the first
664 to be transferred to the output [flush_buffer()], and the stall
665 condition cleared.
666
667 on the next cycle (as long as stall is not raised again) the
668 input may begin to be processed and transferred directly to output.
669
670 """
671 def __init__(self, stage, stage_ctl=False):
672 ControlBase.__init__(self, stage_ctl=stage_ctl)
673 self.stage = stage
674
675 # set up the input and output data
676 self.p.i_data = stage.ispec() # input type
677 self.n.o_data = stage.ospec()
678
679 def elaborate(self, platform):
680
681 self.m = ControlBase._elaborate(self, platform)
682
683 r_busy = Signal()
684 result = self.stage.ospec()
685 if hasattr(self.stage, "setup"):
686 self.stage.setup(self.m, self.p.i_data)
687
688 # establish some combinatorial temporaries
689 n_i_ready = Signal(reset_less=True, name="n_i_rdy_data")
690 p_i_valid_p_o_ready = Signal(reset_less=True)
691 p_i_valid = Signal(reset_less=True)
692 self.m.d.comb += [p_i_valid.eq(self.p.i_valid_test),
693 n_i_ready.eq(self.n.i_ready_test),
694 p_i_valid_p_o_ready.eq(p_i_valid & self.p.o_ready),
695 ]
696
697 # store result of processing in combinatorial temporary
698 self.m.d.comb += eq(result, self.stage.process(self.p.i_data))
699
700 # previous valid and ready
701 with self.m.If(p_i_valid_p_o_ready):
702 self.m.d.sync += [r_busy.eq(1), # output valid
703 #self.n.o_valid.eq(1), # output valid
704 eq(self.n.o_data, result), # update output
705 ]
706 # previous invalid or not ready, however next is accepting
707 with self.m.Elif(n_i_ready):
708 self.m.d.sync += [ eq(self.n.o_data, result)]
709 # TODO: could still send data here (if there was any)
710 #self.m.d.sync += self.n.o_valid.eq(0) # ...so set output invalid
711 self.m.d.sync += r_busy.eq(0) # ...so set output invalid
712
713 self.m.d.comb += self.n.o_valid.eq(r_busy)
714 # if next is ready, so is previous
715 self.m.d.comb += self.p._o_ready.eq(n_i_ready)
716
717 return self.m
718
719
720 class UnbufferedPipeline(ControlBase):
721 """ A simple pipeline stage with single-clock synchronisation
722 and two-way valid/ready synchronised signalling.
723
724 Note that a stall in one stage will result in the entire pipeline
725 chain stalling.
726
727 Also that unlike BufferedPipeline, the valid/ready signalling does NOT
728 travel synchronously with the data: the valid/ready signalling
729 combines in a *combinatorial* fashion. Therefore, a long pipeline
730 chain will lengthen propagation delays.
731
732 Argument: stage. see Stage API, above
733
734 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
735 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
736 stage-1 p.i_data >>in stage n.o_data out>> stage+1
737 | |
738 r_data result
739 | |
740 +--process ->-+
741
742 Attributes:
743 -----------
744 p.i_data : StageInput, shaped according to ispec
745 The pipeline input
746 p.o_data : StageOutput, shaped according to ospec
747 The pipeline output
748 r_data : input_shape according to ispec
749 A temporary (buffered) copy of a prior (valid) input.
750 This is HELD if the output is not ready. It is updated
751 SYNCHRONOUSLY.
752 result: output_shape according to ospec
753 The output of the combinatorial logic. it is updated
754 COMBINATORIALLY (no clock dependence).
755 """
756
757 def __init__(self, stage, stage_ctl=False):
758 ControlBase.__init__(self, stage_ctl=stage_ctl)
759 self.stage = stage
760
761 # set up the input and output data
762 self.p.i_data = stage.ispec() # input type
763 self.n.o_data = stage.ospec() # output type
764
765 def elaborate(self, platform):
766 self.m = ControlBase._elaborate(self, platform)
767
768 data_valid = Signal() # is data valid or not
769 r_data = self.stage.ispec() # input type
770 if hasattr(self.stage, "setup"):
771 self.stage.setup(self.m, r_data)
772
773 # some temporaries
774 p_i_valid = Signal(reset_less=True)
775 pv = Signal(reset_less=True)
776 self.m.d.comb += p_i_valid.eq(self.p.i_valid_test)
777 self.m.d.comb += pv.eq(self.p.i_valid & self.p.o_ready)
778
779 self.m.d.comb += self.n.o_valid.eq(data_valid)
780 self.m.d.comb += self.p._o_ready.eq(~data_valid | self.n.i_ready_test)
781 self.m.d.sync += data_valid.eq(p_i_valid | \
782 (~self.n.i_ready_test & data_valid))
783 with self.m.If(pv):
784 self.m.d.sync += eq(r_data, self.p.i_data)
785 self.m.d.comb += eq(self.n.o_data, self.stage.process(r_data))
786 return self.m
787
788
789 class UnbufferedPipeline2(ControlBase):
790 """ A simple pipeline stage with single-clock synchronisation
791 and two-way valid/ready synchronised signalling.
792
793 Note that a stall in one stage will result in the entire pipeline
794 chain stalling.
795
796 Also that unlike BufferedPipeline, the valid/ready signalling does NOT
797 travel synchronously with the data: the valid/ready signalling
798 combines in a *combinatorial* fashion. Therefore, a long pipeline
799 chain will lengthen propagation delays.
800
801 Argument: stage. see Stage API, above
802
803 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
804 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
805 stage-1 p.i_data >>in stage n.o_data out>> stage+1
806 | |
807 r_data result
808 | |
809 +--process ->-+
810
811 Attributes:
812 -----------
813 p.i_data : StageInput, shaped according to ispec
814 The pipeline input
815 p.o_data : StageOutput, shaped according to ospec
816 The pipeline output
817 buf : output_shape according to ospec
818 A temporary (buffered) copy of a valid output
819 This is HELD if the output is not ready. It is updated
820 SYNCHRONOUSLY.
821 """
822
823 def __init__(self, stage, stage_ctl=False):
824 ControlBase.__init__(self, stage_ctl=stage_ctl)
825 self.stage = stage
826
827 # set up the input and output data
828 self.p.i_data = stage.ispec() # input type
829 self.n.o_data = stage.ospec() # output type
830
831 def elaborate(self, platform):
832 self.m = ControlBase._elaborate(self, platform)
833
834 buf_full = Signal() # is data valid or not
835 buf = self.stage.ospec() # output type
836 if hasattr(self.stage, "setup"):
837 self.stage.setup(self.m, self.p.i_data)
838
839 # some temporaries
840 p_i_valid = Signal(reset_less=True)
841 self.m.d.comb += p_i_valid.eq(self.p.i_valid_test)
842
843 self.m.d.comb += self.n.o_valid.eq(buf_full | p_i_valid)
844 self.m.d.comb += self.p._o_ready.eq(~buf_full)
845 self.m.d.sync += buf_full.eq(~self.n.i_ready_test & self.n.o_valid)
846
847 odata = Mux(buf_full, buf, self.stage.process(self.p.i_data))
848 self.m.d.comb += eq(self.n.o_data, odata)
849 self.m.d.sync += eq(buf, self.n.o_data)
850
851 return self.m
852
853
854 class PassThroughStage(StageCls):
855 """ a pass-through stage which has its input data spec equal to its output,
856 and "passes through" its data from input to output.
857 """
858 def __init__(self, iospecfn):
859 self.iospecfn = iospecfn
860 def ispec(self): return self.iospecfn()
861 def ospec(self): return self.iospecfn()
862 def process(self, i): return i
863
864
865 class RegisterPipeline(UnbufferedPipeline):
866 """ A pipeline stage that delays by one clock cycle, creating a
867 sync'd latch out of o_data and o_valid as an indirect byproduct
868 of using PassThroughStage
869 """
870 def __init__(self, iospecfn):
871 UnbufferedPipeline.__init__(self, PassThroughStage(iospecfn))
872