begin morphing eq function into a visitor
[ieee754fpu.git] / src / add / singlepipe.py
1 """ Pipeline and BufferedHandshake implementation, conforming to the same API.
2 For multi-input and multi-output variants, see multipipe.
3
4 eq:
5 --
6
7 a strategically very important function that is identical in function
8 to nmigen's Signal.eq function, except it may take objects, or a list
9 of objects, or a tuple of objects, and where objects may also be
10 Records.
11
12 Stage API:
13 ---------
14
15 stage requires compliance with a strict API that may be
16 implemented in several means, including as a static class.
17 the methods of a stage instance must be as follows:
18
19 * ispec() - Input data format specification
20 returns an object or a list or tuple of objects, or
21 a Record, each object having an "eq" function which
22 takes responsibility for copying by assignment all
23 sub-objects
24 * ospec() - Output data format specification
25 requirements as for ospec
26 * process(m, i) - Processes an ispec-formatted object
27 returns a combinatorial block of a result that
28 may be assigned to the output, by way of the "eq"
29 function
30 * setup(m, i) - Optional function for setting up submodules
31 may be used for more complex stages, to link
32 the input (i) to submodules. must take responsibility
33 for adding those submodules to the module (m).
34 the submodules must be combinatorial blocks and
35 must have their inputs and output linked combinatorially.
36
37 Both StageCls (for use with non-static classes) and Stage (for use
38 by static classes) are abstract classes from which, for convenience
39 and as a courtesy to other developers, anything conforming to the
40 Stage API may *choose* to derive.
41
42 StageChain:
43 ----------
44
45 A useful combinatorial wrapper around stages that chains them together
46 and then presents a Stage-API-conformant interface. By presenting
47 the same API as the stages it wraps, it can clearly be used recursively.
48
49 RecordBasedStage:
50 ----------------
51
52 A convenience class that takes an input shape, output shape, a
53 "processing" function and an optional "setup" function. Honestly
54 though, there's not much more effort to just... create a class
55 that returns a couple of Records (see ExampleAddRecordStage in
56 examples).
57
58 PassThroughStage:
59 ----------------
60
61 A convenience class that takes a single function as a parameter,
62 that is chain-called to create the exact same input and output spec.
63 It has a process() function that simply returns its input.
64
65 Instances of this class are completely redundant if handed to
66 StageChain, however when passed to UnbufferedPipeline they
67 can be used to introduce a single clock delay.
68
69 ControlBase:
70 -----------
71
72 The base class for pipelines. Contains previous and next ready/valid/data.
73 Also has an extremely useful "connect" function that can be used to
74 connect a chain of pipelines and present the exact same prev/next
75 ready/valid/data API.
76
77 UnbufferedPipeline:
78 ------------------
79
80 A simple stalling clock-synchronised pipeline that has no buffering
81 (unlike BufferedHandshake). Data flows on *every* clock cycle when
82 the conditions are right (this is nominally when the input is valid
83 and the output is ready).
84
85 A stall anywhere along the line will result in a stall back-propagating
86 down the entire chain. The BufferedHandshake by contrast will buffer
87 incoming data, allowing previous stages one clock cycle's grace before
88 also having to stall.
89
90 An advantage of the UnbufferedPipeline over the Buffered one is
91 that the amount of logic needed (number of gates) is greatly
92 reduced (no second set of buffers basically)
93
94 The disadvantage of the UnbufferedPipeline is that the valid/ready
95 logic, if chained together, is *combinatorial*, resulting in
96 progressively larger gate delay.
97
98 PassThroughHandshake:
99 ------------------
100
101 A Control class that introduces a single clock delay, passing its
102 data through unaltered. Unlike RegisterPipeline (which relies
103 on UnbufferedPipeline and PassThroughStage) it handles ready/valid
104 itself.
105
106 RegisterPipeline:
107 ----------------
108
109 A convenience class that, because UnbufferedPipeline introduces a single
110 clock delay, when its stage is a PassThroughStage, it results in a Pipeline
111 stage that, duh, delays its (unmodified) input by one clock cycle.
112
113 BufferedHandshake:
114 ----------------
115
116 nmigen implementation of buffered pipeline stage, based on zipcpu:
117 https://zipcpu.com/blog/2017/08/14/strategies-for-pipelining.html
118
119 this module requires quite a bit of thought to understand how it works
120 (and why it is needed in the first place). reading the above is
121 *strongly* recommended.
122
123 unlike john dawson's IEEE754 FPU STB/ACK signalling, which requires
124 the STB / ACK signals to raise and lower (on separate clocks) before
125 data may proceeed (thus only allowing one piece of data to proceed
126 on *ALTERNATE* cycles), the signalling here is a true pipeline
127 where data will flow on *every* clock when the conditions are right.
128
129 input acceptance conditions are when:
130 * incoming previous-stage strobe (p.i_valid) is HIGH
131 * outgoing previous-stage ready (p.o_ready) is LOW
132
133 output transmission conditions are when:
134 * outgoing next-stage strobe (n.o_valid) is HIGH
135 * outgoing next-stage ready (n.i_ready) is LOW
136
137 the tricky bit is when the input has valid data and the output is not
138 ready to accept it. if it wasn't for the clock synchronisation, it
139 would be possible to tell the input "hey don't send that data, we're
140 not ready". unfortunately, it's not possible to "change the past":
141 the previous stage *has no choice* but to pass on its data.
142
143 therefore, the incoming data *must* be accepted - and stored: that
144 is the responsibility / contract that this stage *must* accept.
145 on the same clock, it's possible to tell the input that it must
146 not send any more data. this is the "stall" condition.
147
148 we now effectively have *two* possible pieces of data to "choose" from:
149 the buffered data, and the incoming data. the decision as to which
150 to process and output is based on whether we are in "stall" or not.
151 i.e. when the next stage is no longer ready, the output comes from
152 the buffer if a stall had previously occurred, otherwise it comes
153 direct from processing the input.
154
155 this allows us to respect a synchronous "travelling STB" with what
156 dan calls a "buffered handshake".
157
158 it's quite a complex state machine!
159
160 SimpleHandshake
161 ---------------
162
163 Synchronised pipeline, Based on:
164 https://github.com/ZipCPU/dbgbus/blob/master/hexbus/rtl/hbdeword.v
165 """
166
167 from nmigen import Signal, Cat, Const, Mux, Module, Value
168 from nmigen.cli import verilog, rtlil
169 from nmigen.lib.fifo import SyncFIFO
170 from nmigen.hdl.ast import ArrayProxy
171 from nmigen.hdl.rec import Record, Layout
172
173 from abc import ABCMeta, abstractmethod
174 from collections.abc import Sequence
175
176
177 class PrevControl:
178 """ contains signals that come *from* the previous stage (both in and out)
179 * i_valid: previous stage indicating all incoming data is valid.
180 may be a multi-bit signal, where all bits are required
181 to be asserted to indicate "valid".
182 * o_ready: output to next stage indicating readiness to accept data
183 * i_data : an input - added by the user of this class
184 """
185
186 def __init__(self, i_width=1, stage_ctl=False):
187 self.stage_ctl = stage_ctl
188 self.i_valid = Signal(i_width, name="p_i_valid") # prev >>in self
189 self._o_ready = Signal(name="p_o_ready") # prev <<out self
190 self.i_data = None # XXX MUST BE ADDED BY USER
191 if stage_ctl:
192 self.s_o_ready = Signal(name="p_s_o_rdy") # prev <<out self
193
194 @property
195 def o_ready(self):
196 """ public-facing API: indicates (externally) that stage is ready
197 """
198 if self.stage_ctl:
199 return self.s_o_ready # set dynamically by stage
200 return self._o_ready # return this when not under dynamic control
201
202 def _connect_in(self, prev, direct=False):
203 """ internal helper function to connect stage to an input source.
204 do not use to connect stage-to-stage!
205 """
206 if direct:
207 i_valid = prev.i_valid
208 else:
209 i_valid = prev.i_valid_test
210 return [self.i_valid.eq(i_valid),
211 prev.o_ready.eq(self.o_ready),
212 eq(self.i_data, prev.i_data),
213 ]
214
215 @property
216 def i_valid_test(self):
217 vlen = len(self.i_valid)
218 if vlen > 1:
219 # multi-bit case: valid only when i_valid is all 1s
220 all1s = Const(-1, (len(self.i_valid), False))
221 i_valid = (self.i_valid == all1s)
222 else:
223 # single-bit i_valid case
224 i_valid = self.i_valid
225
226 # when stage indicates not ready, incoming data
227 # must "appear" to be not ready too
228 if self.stage_ctl:
229 i_valid = i_valid & self.s_o_ready
230
231 return i_valid
232
233
234 class NextControl:
235 """ contains the signals that go *to* the next stage (both in and out)
236 * o_valid: output indicating to next stage that data is valid
237 * i_ready: input from next stage indicating that it can accept data
238 * o_data : an output - added by the user of this class
239 """
240 def __init__(self, stage_ctl=False):
241 self.stage_ctl = stage_ctl
242 self.o_valid = Signal(name="n_o_valid") # self out>> next
243 self.i_ready = Signal(name="n_i_ready") # self <<in next
244 self.o_data = None # XXX MUST BE ADDED BY USER
245 #if self.stage_ctl:
246 self.d_valid = Signal(reset=1) # INTERNAL (data valid)
247
248 @property
249 def i_ready_test(self):
250 if self.stage_ctl:
251 return self.i_ready & self.d_valid
252 return self.i_ready
253
254 def connect_to_next(self, nxt):
255 """ helper function to connect to the next stage data/valid/ready.
256 data/valid is passed *TO* nxt, and ready comes *IN* from nxt.
257 use this when connecting stage-to-stage
258 """
259 return [nxt.i_valid.eq(self.o_valid),
260 self.i_ready.eq(nxt.o_ready),
261 eq(nxt.i_data, self.o_data),
262 ]
263
264 def _connect_out(self, nxt, direct=False):
265 """ internal helper function to connect stage to an output source.
266 do not use to connect stage-to-stage!
267 """
268 if direct:
269 i_ready = nxt.i_ready
270 else:
271 i_ready = nxt.i_ready_test
272 return [nxt.o_valid.eq(self.o_valid),
273 self.i_ready.eq(i_ready),
274 eq(nxt.o_data, self.o_data),
275 ]
276
277
278 def visitor(o, i, fn):
279 """ a helper routine which identifies if it is being passed a list
280 (or tuple) of objects, or signals, or Records, and calls
281 a visitor function.
282
283 the visiting fn is called when an object is identified.
284
285 Record is a special (unusual, recursive) case, where the input may be
286 specified as a dictionary (which may contain further dictionaries,
287 recursively), where the field names of the dictionary must match
288 the Record's field spec. Alternatively, an object with the same
289 member names as the Record may be assigned: it does not have to
290 *be* a Record.
291
292 ArrayProxy is also special-cased, it's a bit messy: whilst ArrayProxy
293 has an eq function, the object being assigned to it (e.g. a python
294 object) might not. despite the *input* having an eq function,
295 that doesn't help us, because it's the *ArrayProxy* that's being
296 assigned to. so.... we cheat. use the ports() function of the
297 python object, enumerate them, find out the list of Signals that way,
298 and assign them.
299 """
300 res = []
301 if isinstance(o, dict):
302 for (k, v) in o.items():
303 print ("d-eq", v, i[k])
304 res.append(fn(v, i[k]))
305 return res
306
307 if not isinstance(o, Sequence):
308 o, i = [o], [i]
309 for (ao, ai) in zip(o, i):
310 #print ("visit", fn, ao, ai)
311 if isinstance(ao, Record):
312 rres = []
313 for idx, (field_name, field_shape, _) in enumerate(ao.layout):
314 if isinstance(field_shape, Layout):
315 val = ai.fields
316 else:
317 val = ai
318 if hasattr(val, field_name): # check for attribute
319 val = getattr(val, field_name)
320 else:
321 val = val[field_name] # dictionary-style specification
322 rres += visitor(ao.fields[field_name], val, fn)
323 elif isinstance(ao, ArrayProxy) and not isinstance(ai, Value):
324 rres = []
325 for p in ai.ports():
326 op = getattr(ao, p.name)
327 #print (op, p, p.name)
328 rres.append(fn(op, p))
329 else:
330 rres = fn(ao, ai)
331 if not isinstance(rres, Sequence):
332 rres = [rres]
333 res += rres
334 return res
335
336 def _eq_fn(o, i):
337 return o.eq(i)
338
339 def eq(o, i):
340 """ makes signals equal: a helper routine which identifies if it is being
341 passed a list (or tuple) of objects, or signals, or Records, and calls
342 the objects' eq function.
343 """
344 return visitor(o, i, _eq_fn)
345
346
347 class StageCls(metaclass=ABCMeta):
348 """ Class-based "Stage" API. requires instantiation (after derivation)
349
350 see "Stage API" above.. Note: python does *not* require derivation
351 from this class. All that is required is that the pipelines *have*
352 the functions listed in this class. Derivation from this class
353 is therefore merely a "courtesy" to maintainers.
354 """
355 @abstractmethod
356 def ispec(self): pass # REQUIRED
357 @abstractmethod
358 def ospec(self): pass # REQUIRED
359 #@abstractmethod
360 #def setup(self, m, i): pass # OPTIONAL
361 @abstractmethod
362 def process(self, i): pass # REQUIRED
363
364
365 class Stage(metaclass=ABCMeta):
366 """ Static "Stage" API. does not require instantiation (after derivation)
367
368 see "Stage API" above. Note: python does *not* require derivation
369 from this class. All that is required is that the pipelines *have*
370 the functions listed in this class. Derivation from this class
371 is therefore merely a "courtesy" to maintainers.
372 """
373 @staticmethod
374 @abstractmethod
375 def ispec(): pass
376
377 @staticmethod
378 @abstractmethod
379 def ospec(): pass
380
381 #@staticmethod
382 #@abstractmethod
383 #def setup(m, i): pass
384
385 @staticmethod
386 @abstractmethod
387 def process(i): pass
388
389
390 class RecordBasedStage(Stage):
391 """ convenience class which provides a Records-based layout.
392 honestly it's a lot easier just to create a direct Records-based
393 class (see ExampleAddRecordStage)
394 """
395 def __init__(self, in_shape, out_shape, processfn, setupfn=None):
396 self.in_shape = in_shape
397 self.out_shape = out_shape
398 self.__process = processfn
399 self.__setup = setupfn
400 def ispec(self): return Record(self.in_shape)
401 def ospec(self): return Record(self.out_shape)
402 def process(seif, i): return self.__process(i)
403 def setup(seif, m, i): return self.__setup(m, i)
404
405
406 class StageChain(StageCls):
407 """ pass in a list of stages, and they will automatically be
408 chained together via their input and output specs into a
409 combinatorial chain.
410
411 the end result basically conforms to the exact same Stage API.
412
413 * input to this class will be the input of the first stage
414 * output of first stage goes into input of second
415 * output of second goes into input into third (etc. etc.)
416 * the output of this class will be the output of the last stage
417 """
418 def __init__(self, chain, specallocate=False):
419 self.chain = chain
420 self.specallocate = specallocate
421
422 def ispec(self):
423 return self.chain[0].ispec()
424
425 def ospec(self):
426 return self.chain[-1].ospec()
427
428 def _specallocate_setup(self, m, i):
429 for (idx, c) in enumerate(self.chain):
430 if hasattr(c, "setup"):
431 c.setup(m, i) # stage may have some module stuff
432 o = self.chain[idx].ospec() # last assignment survives
433 m.d.comb += eq(o, c.process(i)) # process input into "o"
434 if idx == len(self.chain)-1:
435 break
436 i = self.chain[idx+1].ispec() # new input on next loop
437 m.d.comb += eq(i, o) # assign to next input
438 return o # last loop is the output
439
440 def _noallocate_setup(self, m, i):
441 for (idx, c) in enumerate(self.chain):
442 if hasattr(c, "setup"):
443 c.setup(m, i) # stage may have some module stuff
444 i = o = c.process(i) # store input into "o"
445 return o # last loop is the output
446
447 def setup(self, m, i):
448 if self.specallocate:
449 self.o = self._specallocate_setup(m, i)
450 else:
451 self.o = self._noallocate_setup(m, i)
452
453 def process(self, i):
454 return self.o # conform to Stage API: return last-loop output
455
456
457 class ControlBase:
458 """ Common functions for Pipeline API
459 """
460 def __init__(self, stage=None, in_multi=None, stage_ctl=False):
461 """ Base class containing ready/valid/data to previous and next stages
462
463 * p: contains ready/valid to the previous stage
464 * n: contains ready/valid to the next stage
465
466 Except when calling Controlbase.connect(), user must also:
467 * add i_data member to PrevControl (p) and
468 * add o_data member to NextControl (n)
469 """
470 self.stage = stage
471
472 # set up input and output IO ACK (prev/next ready/valid)
473 self.p = PrevControl(in_multi, stage_ctl)
474 self.n = NextControl(stage_ctl)
475
476 # set up the input and output data
477 if stage is not None:
478 self.p.i_data = stage.ispec() # input type
479 self.n.o_data = stage.ospec()
480
481 def connect_to_next(self, nxt):
482 """ helper function to connect to the next stage data/valid/ready.
483 """
484 return self.n.connect_to_next(nxt.p)
485
486 def _connect_in(self, prev):
487 """ internal helper function to connect stage to an input source.
488 do not use to connect stage-to-stage!
489 """
490 return self.p._connect_in(prev.p)
491
492 def _connect_out(self, nxt):
493 """ internal helper function to connect stage to an output source.
494 do not use to connect stage-to-stage!
495 """
496 return self.n._connect_out(nxt.n)
497
498 def connect(self, pipechain):
499 """ connects a chain (list) of Pipeline instances together and
500 links them to this ControlBase instance:
501
502 in <----> self <---> out
503 | ^
504 v |
505 [pipe1, pipe2, pipe3, pipe4]
506 | ^ | ^ | ^
507 v | v | v |
508 out---in out--in out---in
509
510 Also takes care of allocating i_data/o_data, by looking up
511 the data spec for each end of the pipechain. i.e It is NOT
512 necessary to allocate self.p.i_data or self.n.o_data manually:
513 this is handled AUTOMATICALLY, here.
514
515 Basically this function is the direct equivalent of StageChain,
516 except that unlike StageChain, the Pipeline logic is followed.
517
518 Just as StageChain presents an object that conforms to the
519 Stage API from a list of objects that also conform to the
520 Stage API, an object that calls this Pipeline connect function
521 has the exact same pipeline API as the list of pipline objects
522 it is called with.
523
524 Thus it becomes possible to build up larger chains recursively.
525 More complex chains (multi-input, multi-output) will have to be
526 done manually.
527 """
528 eqs = [] # collated list of assignment statements
529
530 # connect inter-chain
531 for i in range(len(pipechain)-1):
532 pipe1 = pipechain[i]
533 pipe2 = pipechain[i+1]
534 eqs += pipe1.connect_to_next(pipe2)
535
536 # connect front of chain to ourselves
537 front = pipechain[0]
538 self.p.i_data = front.stage.ispec()
539 eqs += front._connect_in(self)
540
541 # connect end of chain to ourselves
542 end = pipechain[-1]
543 self.n.o_data = end.stage.ospec()
544 eqs += end._connect_out(self)
545
546 return eqs
547
548 def set_input(self, i):
549 """ helper function to set the input data
550 """
551 return eq(self.p.i_data, i)
552
553 def ports(self):
554 res = [self.p.i_valid, self.n.i_ready,
555 self.n.o_valid, self.p.o_ready,
556 ]
557 if hasattr(self.p.i_data, "ports"):
558 res += self.p.i_data.ports()
559 else:
560 res += self.p.i_data
561 if hasattr(self.n.o_data, "ports"):
562 res += self.n.o_data.ports()
563 else:
564 res += self.n.o_data
565 return res
566
567 def _elaborate(self, platform):
568 """ handles case where stage has dynamic ready/valid functions
569 """
570 m = Module()
571
572 if self.stage is not None and hasattr(self.stage, "setup"):
573 self.stage.setup(m, self.p.i_data)
574
575 if not self.p.stage_ctl:
576 return m
577
578 # intercept the previous (outgoing) "ready", combine with stage ready
579 m.d.comb += self.p.s_o_ready.eq(self.p._o_ready & self.stage.d_ready)
580
581 # intercept the next (incoming) "ready" and combine it with data valid
582 sdv = self.stage.d_valid(self.n.i_ready)
583 m.d.comb += self.n.d_valid.eq(self.n.i_ready & sdv)
584
585 return m
586
587
588 class BufferedHandshake(ControlBase):
589 """ buffered pipeline stage. data and strobe signals travel in sync.
590 if ever the input is ready and the output is not, processed data
591 is shunted in a temporary register.
592
593 Argument: stage. see Stage API above
594
595 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
596 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
597 stage-1 p.i_data >>in stage n.o_data out>> stage+1
598 | |
599 process --->----^
600 | |
601 +-- r_data ->-+
602
603 input data p.i_data is read (only), is processed and goes into an
604 intermediate result store [process()]. this is updated combinatorially.
605
606 in a non-stall condition, the intermediate result will go into the
607 output (update_output). however if ever there is a stall, it goes
608 into r_data instead [update_buffer()].
609
610 when the non-stall condition is released, r_data is the first
611 to be transferred to the output [flush_buffer()], and the stall
612 condition cleared.
613
614 on the next cycle (as long as stall is not raised again) the
615 input may begin to be processed and transferred directly to output.
616 """
617
618 def elaborate(self, platform):
619 self.m = ControlBase._elaborate(self, platform)
620
621 result = self.stage.ospec()
622 r_data = self.stage.ospec()
623
624 # establish some combinatorial temporaries
625 o_n_validn = Signal(reset_less=True)
626 n_i_ready = Signal(reset_less=True, name="n_i_rdy_data")
627 nir_por = Signal(reset_less=True)
628 nir_por_n = Signal(reset_less=True)
629 p_i_valid = Signal(reset_less=True)
630 nir_novn = Signal(reset_less=True)
631 nirn_novn = Signal(reset_less=True)
632 por_pivn = Signal(reset_less=True)
633 npnn = Signal(reset_less=True)
634 self.m.d.comb += [p_i_valid.eq(self.p.i_valid_test),
635 o_n_validn.eq(~self.n.o_valid),
636 n_i_ready.eq(self.n.i_ready_test),
637 nir_por.eq(n_i_ready & self.p._o_ready),
638 nir_por_n.eq(n_i_ready & ~self.p._o_ready),
639 nir_novn.eq(n_i_ready | o_n_validn),
640 nirn_novn.eq(~n_i_ready & o_n_validn),
641 npnn.eq(nir_por | nirn_novn),
642 por_pivn.eq(self.p._o_ready & ~p_i_valid)
643 ]
644
645 # store result of processing in combinatorial temporary
646 self.m.d.comb += eq(result, self.stage.process(self.p.i_data))
647
648 # if not in stall condition, update the temporary register
649 with self.m.If(self.p.o_ready): # not stalled
650 self.m.d.sync += eq(r_data, result) # update buffer
651
652 # data pass-through conditions
653 with self.m.If(npnn):
654 self.m.d.sync += [self.n.o_valid.eq(p_i_valid), # valid if p_valid
655 eq(self.n.o_data, result), # update output
656 ]
657 # buffer flush conditions (NOTE: can override data passthru conditions)
658 with self.m.If(nir_por_n): # not stalled
659 # Flush the [already processed] buffer to the output port.
660 self.m.d.sync += [self.n.o_valid.eq(1), # reg empty
661 eq(self.n.o_data, r_data), # flush buffer
662 ]
663 # output ready conditions
664 self.m.d.sync += self.p._o_ready.eq(nir_novn | por_pivn)
665
666 return self.m
667
668
669 class SimpleHandshake(ControlBase):
670 """ simple handshake control. data and strobe signals travel in sync.
671 implements the protocol used by Wishbone and AXI4.
672
673 Argument: stage. see Stage API above
674
675 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
676 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
677 stage-1 p.i_data >>in stage n.o_data out>> stage+1
678 | |
679 +--process->--^
680 Truth Table
681
682 Inputs Temporary Output
683 ------- ---------- -----
684 P P N N PiV& ~NiV& N P
685 i o i o PoR NoV o o
686 V R R V V R
687
688 ------- - - - -
689 0 0 0 0 0 0 >0 0
690 0 0 0 1 0 1 >1 0
691 0 0 1 0 0 0 0 1
692 0 0 1 1 0 0 0 1
693 ------- - - - -
694 0 1 0 0 0 0 >0 0
695 0 1 0 1 0 1 >1 0
696 0 1 1 0 0 0 0 1
697 0 1 1 1 0 0 0 1
698 ------- - - - -
699 1 0 0 0 0 0 >0 0
700 1 0 0 1 0 1 >1 0
701 1 0 1 0 0 0 0 1
702 1 0 1 1 0 0 0 1
703 ------- - - - -
704 1 1 0 0 1 0 1 0
705 1 1 0 1 1 1 1 0
706 1 1 1 0 1 0 1 1
707 1 1 1 1 1 0 1 1
708 ------- - - - -
709 """
710
711 def elaborate(self, platform):
712 self.m = m = ControlBase._elaborate(self, platform)
713
714 r_busy = Signal()
715 result = self.stage.ospec()
716
717 # establish some combinatorial temporaries
718 n_i_ready = Signal(reset_less=True, name="n_i_rdy_data")
719 p_i_valid_p_o_ready = Signal(reset_less=True)
720 p_i_valid = Signal(reset_less=True)
721 m.d.comb += [p_i_valid.eq(self.p.i_valid_test),
722 n_i_ready.eq(self.n.i_ready_test),
723 p_i_valid_p_o_ready.eq(p_i_valid & self.p.o_ready),
724 ]
725
726 # store result of processing in combinatorial temporary
727 m.d.comb += eq(result, self.stage.process(self.p.i_data))
728
729 # previous valid and ready
730 with m.If(p_i_valid_p_o_ready):
731 m.d.sync += [r_busy.eq(1), # output valid
732 eq(self.n.o_data, result), # update output
733 ]
734 # previous invalid or not ready, however next is accepting
735 with m.Elif(n_i_ready):
736 m.d.sync += [eq(self.n.o_data, result)]
737 # TODO: could still send data here (if there was any)
738 #m.d.sync += self.n.o_valid.eq(0) # ...so set output invalid
739 m.d.sync += r_busy.eq(0) # ...so set output invalid
740
741 m.d.comb += self.n.o_valid.eq(r_busy)
742 # if next is ready, so is previous
743 m.d.comb += self.p._o_ready.eq(n_i_ready)
744
745 return self.m
746
747
748 class UnbufferedPipeline(ControlBase):
749 """ A simple pipeline stage with single-clock synchronisation
750 and two-way valid/ready synchronised signalling.
751
752 Note that a stall in one stage will result in the entire pipeline
753 chain stalling.
754
755 Also that unlike BufferedHandshake, the valid/ready signalling does NOT
756 travel synchronously with the data: the valid/ready signalling
757 combines in a *combinatorial* fashion. Therefore, a long pipeline
758 chain will lengthen propagation delays.
759
760 Argument: stage. see Stage API, above
761
762 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
763 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
764 stage-1 p.i_data >>in stage n.o_data out>> stage+1
765 | |
766 r_data result
767 | |
768 +--process ->-+
769
770 Attributes:
771 -----------
772 p.i_data : StageInput, shaped according to ispec
773 The pipeline input
774 p.o_data : StageOutput, shaped according to ospec
775 The pipeline output
776 r_data : input_shape according to ispec
777 A temporary (buffered) copy of a prior (valid) input.
778 This is HELD if the output is not ready. It is updated
779 SYNCHRONOUSLY.
780 result: output_shape according to ospec
781 The output of the combinatorial logic. it is updated
782 COMBINATORIALLY (no clock dependence).
783
784 Truth Table
785
786 Inputs Temp Output
787 ------- - -----
788 P P N N ~NiR& N P
789 i o i o NoV o o
790 V R R V V R
791
792 ------- - - -
793 0 0 0 0 0 0 1
794 0 0 0 1 1 1 0
795 0 0 1 0 0 0 1
796 0 0 1 1 0 0 1
797 ------- - - -
798 0 1 0 0 0 0 1
799 0 1 0 1 1 1 0
800 0 1 1 0 0 0 1
801 0 1 1 1 0 0 1
802 ------- - - -
803 1 0 0 0 0 1 1
804 1 0 0 1 1 1 0
805 1 0 1 0 0 1 1
806 1 0 1 1 0 1 1
807 ------- - - -
808 1 1 0 0 0 1 1
809 1 1 0 1 1 1 0
810 1 1 1 0 0 1 1
811 1 1 1 1 0 1 1
812 ------- - - -
813
814 Note: PoR is *NOT* involved in the above decision-making.
815 """
816
817 def elaborate(self, platform):
818 self.m = m = ControlBase._elaborate(self, platform)
819
820 data_valid = Signal() # is data valid or not
821 r_data = self.stage.ospec() # output type
822
823 # some temporaries
824 p_i_valid = Signal(reset_less=True)
825 pv = Signal(reset_less=True)
826 m.d.comb += p_i_valid.eq(self.p.i_valid_test)
827 m.d.comb += pv.eq(self.p.i_valid & self.p.o_ready)
828
829 m.d.comb += self.n.o_valid.eq(data_valid)
830 m.d.comb += self.p._o_ready.eq(~data_valid | self.n.i_ready_test)
831 m.d.sync += data_valid.eq(p_i_valid | \
832 (~self.n.i_ready_test & data_valid))
833 with m.If(pv):
834 m.d.sync += eq(r_data, self.stage.process(self.p.i_data))
835 m.d.comb += eq(self.n.o_data, r_data)
836
837 return self.m
838
839
840 class UnbufferedPipeline2(ControlBase):
841 """ A simple pipeline stage with single-clock synchronisation
842 and two-way valid/ready synchronised signalling.
843
844 Note that a stall in one stage will result in the entire pipeline
845 chain stalling.
846
847 Also that unlike BufferedHandshake, the valid/ready signalling does NOT
848 travel synchronously with the data: the valid/ready signalling
849 combines in a *combinatorial* fashion. Therefore, a long pipeline
850 chain will lengthen propagation delays.
851
852 Argument: stage. see Stage API, above
853
854 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
855 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
856 stage-1 p.i_data >>in stage n.o_data out>> stage+1
857 | | |
858 +- process-> buf <-+
859 Attributes:
860 -----------
861 p.i_data : StageInput, shaped according to ispec
862 The pipeline input
863 p.o_data : StageOutput, shaped according to ospec
864 The pipeline output
865 buf : output_shape according to ospec
866 A temporary (buffered) copy of a valid output
867 This is HELD if the output is not ready. It is updated
868 SYNCHRONOUSLY.
869 """
870
871 def elaborate(self, platform):
872 self.m = m = ControlBase._elaborate(self, platform)
873
874 buf_full = Signal() # is data valid or not
875 buf = self.stage.ospec() # output type
876
877 # some temporaries
878 p_i_valid = Signal(reset_less=True)
879 m.d.comb += p_i_valid.eq(self.p.i_valid_test)
880
881 m.d.comb += self.n.o_valid.eq(buf_full | p_i_valid)
882 m.d.comb += self.p._o_ready.eq(~buf_full)
883 m.d.sync += buf_full.eq(~self.n.i_ready_test & self.n.o_valid)
884
885 odata = Mux(buf_full, buf, self.stage.process(self.p.i_data))
886 m.d.comb += eq(self.n.o_data, odata)
887 m.d.sync += eq(buf, self.n.o_data)
888
889 return self.m
890
891
892 class PassThroughStage(StageCls):
893 """ a pass-through stage which has its input data spec equal to its output,
894 and "passes through" its data from input to output.
895 """
896 def __init__(self, iospecfn):
897 self.iospecfn = iospecfn
898 def ispec(self): return self.iospecfn()
899 def ospec(self): return self.iospecfn()
900 def process(self, i): return i
901
902
903 class PassThroughHandshake(ControlBase):
904 """ A control block that delays by one clock cycle.
905 """
906
907 def elaborate(self, platform):
908 self.m = m = ControlBase._elaborate(self, platform)
909
910 # temporaries
911 p_i_valid = Signal(reset_less=True)
912 pvr = Signal(reset_less=True)
913 m.d.comb += p_i_valid.eq(self.p.i_valid_test)
914 m.d.comb += pvr.eq(p_i_valid & self.p.o_ready)
915
916 m.d.comb += self.p.o_ready.eq(~self.n.o_valid | self.n.i_ready_test)
917 m.d.sync += self.n.o_valid.eq(p_i_valid | ~self.p.o_ready)
918
919 odata = Mux(pvr, self.stage.process(self.p.i_data), self.n.o_data)
920 m.d.sync += eq(self.n.o_data, odata)
921
922 return m
923
924
925 class RegisterPipeline(UnbufferedPipeline):
926 """ A pipeline stage that delays by one clock cycle, creating a
927 sync'd latch out of o_data and o_valid as an indirect byproduct
928 of using PassThroughStage
929 """
930 def __init__(self, iospecfn):
931 UnbufferedPipeline.__init__(self, PassThroughStage(iospecfn))
932
933
934 class FIFOtest(ControlBase):
935 """ A test of using a SyncFIFO to see if it will work.
936 Note: the only things it will accept is a Signal of width "width".
937 """
938
939 def __init__(self, width, depth):
940
941 self.fwidth = width
942 self.fdepth = depth
943 def iospecfn():
944 return Signal(width, name="data")
945 stage = PassThroughStage(iospecfn)
946 ControlBase.__init__(self, stage=stage)
947
948 def elaborate(self, platform):
949 self.m = m = ControlBase._elaborate(self, platform)
950
951 fifo = SyncFIFO(self.fwidth, self.fdepth)
952 m.submodules.fifo = fifo
953
954 # prev: make the FIFO "look" like a PrevControl...
955 fp = PrevControl()
956 fp.i_valid = fifo.we
957 fp._o_ready = fifo.writable
958 fp.i_data = fifo.din
959 # ... so we can do this!
960 m.d.comb += fp._connect_in(self.p, True)
961
962 # next: make the FIFO "look" like a NextControl...
963 fn = NextControl()
964 fn.o_valid = fifo.readable
965 fn.i_ready = fifo.re
966 fn.o_data = fifo.dout
967 # ... so we can do this!
968 m.d.comb += fn._connect_out(self.n)
969
970 # err... that should be all!
971 return m
972