add FIFO chain-test
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 10 Apr 2019 07:51:34 +0000 (08:51 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 10 Apr 2019 07:51:34 +0000 (08:51 +0100)
src/add/test_buf_pipe.py

index fcb1ca311dda719d6c571e1c2390995302ec5862..b695e537142f3dfcf6b3b864ea9cac1ae5aad0bd 100644 (file)
@@ -769,6 +769,26 @@ class FIFOTest16(FIFOtest):
         FIFOtest.__init__(self, 16, 2)
 
 
+######################################################################
+# Test 21
+######################################################################
+
+class ExampleFIFOPassThruPipe1(ControlBase):
+
+    def elaborate(self, platform):
+        m = ControlBase._elaborate(self, platform)
+
+        pipe1 = FIFOTest16()
+        pipe2 = ExamplePassAdd1Pipe()
+
+        m.submodules.pipe1 = pipe1
+        m.submodules.pipe2 = pipe2
+
+        m.d.comb += self.connect([pipe1, pipe2])
+
+        return m
+
+
 ######################################################################
 # Test 997
 ######################################################################
@@ -860,7 +880,7 @@ class ExampleBufUnBufPipe(ControlBase):
 # Unit Tests
 ######################################################################
 
-num_tests = 100
+num_tests = 10
 
 if __name__ == '__main__':
     print ("test 1")
@@ -1054,6 +1074,18 @@ if __name__ == '__main__':
     with open("test_fifo20.il", "w") as f:
         f.write(vl)
 
+    print ("test 21")
+    dut = ExampleFIFOPassThruPipe1()
+    data = data_chain1()
+    test = Test5(dut, test12_resultfn, data=data)
+    run_simulation(dut, [test.send, test.rcv], vcd_name="test_fifopass21.vcd")
+    ports = [dut.p.i_valid, dut.n.i_ready,
+             dut.n.o_valid, dut.p.o_ready] + \
+             [dut.p.i_data] + [dut.n.o_data]
+    vl = rtlil.convert(dut, ports=ports)
+    with open("test_fifopass21.il", "w") as f:
+        f.write(vl)
+
     print ("test 997")
     dut = ExampleBufPassThruPipe2()
     data = data_chain1()