split out addstages to separate module
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 29 Mar 2019 12:14:19 +0000 (12:14 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 29 Mar 2019 12:14:19 +0000 (12:14 +0000)
src/add/fpadd/addstages.py [new file with mode: 0644]
src/add/nmigen_add_experiment.py

diff --git a/src/add/fpadd/addstages.py b/src/add/fpadd/addstages.py
new file mode 100644 (file)
index 0000000..3988814
--- /dev/null
@@ -0,0 +1,61 @@
+# IEEE Floating Point Adder (Single Precision)
+# Copyright (C) Jonathan P Dawson 2013
+# 2013-12-12
+
+from nmigen import Module, Signal, Cat, Mux, Array, Const
+from nmigen.lib.coding import PriorityEncoder
+from nmigen.cli import main, verilog
+from math import log
+
+from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase, FPNumBase
+from fpbase import MultiShiftRMerge, Trigger
+from singlepipe import (ControlBase, StageChain, UnbufferedPipeline,
+                        PassThroughStage)
+from multipipe import CombMuxOutPipe
+from multipipe import PriorityCombMuxInPipe
+
+from fpbase import FPState, FPID
+from fpcommon.denorm import FPSCData
+from fpcommon.postcalc import FPAddStage1Data
+from fpadd.align import FPAddAlignSingleMod
+from fpadd.add0 import (FPAddStage0Data, FPAddStage0Mod, FPAddStage0)
+from fpadd.add1 import (FPAddStage1Mod, FPAddStage1)
+
+
+class FPAddAlignSingleAdd(FPState, UnbufferedPipeline):
+
+    def __init__(self, width, id_wid):
+        FPState.__init__(self, "align")
+        self.width = width
+        self.id_wid = id_wid
+        UnbufferedPipeline.__init__(self, self) # pipeline is its own stage
+        self.a1o = self.ospec()
+
+    def ispec(self):
+        return FPSCData(self.width, self.id_wid)
+
+    def ospec(self):
+        return FPAddStage1Data(self.width, self.id_wid) # AddStage1 ospec
+
+    def setup(self, m, i):
+        """ links module to inputs and outputs
+        """
+
+        # chain AddAlignSingle, AddStage0 and AddStage1
+        mod = FPAddAlignSingleMod(self.width, self.id_wid)
+        a0mod = FPAddStage0Mod(self.width, self.id_wid)
+        a1mod = FPAddStage1Mod(self.width, self.id_wid)
+
+        chain = StageChain([mod, a0mod, a1mod])
+        chain.setup(m, i)
+
+        self.o = a1mod.o
+
+    def process(self, i):
+        return self.o
+
+    def action(self, m):
+        m.d.sync += self.a1o.eq(self.process(None))
+        m.next = "normalise_1"
+
+
index bffd4b22c0c53582fca80368b58b25b6cd214019..a80b5a7c3b93e134bf4fa4f433cbcf64012a7955 100644 (file)
@@ -33,43 +33,7 @@ from fpadd.align import (FPAddAlignMulti, FPAddAlignMultiMod, FPNumIn2Ops,
                          FPAddAlignSingleMod, FPAddAlignSingle)
 from fpadd.add0 import (FPAddStage0Data, FPAddStage0Mod, FPAddStage0)
 from fpadd.add1 import (FPAddStage1Mod, FPAddStage1)
-
-
-class FPAddAlignSingleAdd(FPState, UnbufferedPipeline):
-
-    def __init__(self, width, id_wid):
-        FPState.__init__(self, "align")
-        self.width = width
-        self.id_wid = id_wid
-        UnbufferedPipeline.__init__(self, self) # pipeline is its own stage
-        self.a1o = self.ospec()
-
-    def ispec(self):
-        return FPSCData(self.width, self.id_wid)
-
-    def ospec(self):
-        return FPAddStage1Data(self.width, self.id_wid) # AddStage1 ospec
-
-    def setup(self, m, i):
-        """ links module to inputs and outputs
-        """
-
-        # chain AddAlignSingle, AddStage0 and AddStage1
-        mod = FPAddAlignSingleMod(self.width, self.id_wid)
-        a0mod = FPAddStage0Mod(self.width, self.id_wid)
-        a1mod = FPAddStage1Mod(self.width, self.id_wid)
-
-        chain = StageChain([mod, a0mod, a1mod])
-        chain.setup(m, i)
-
-        self.o = a1mod.o
-
-    def process(self, i):
-        return self.o
-
-    def action(self, m):
-        m.d.sync += self.a1o.eq(self.process(None))
-        m.next = "normalise_1"
+from fpadd.addstages import FPAddAlignSingleAdd
 
 
 class FPOpData: