ghostmansd: update status
authorDmitry Selyutin <ghostmansd@gmail.com>
Wed, 18 May 2022 09:41:02 +0000 (09:41 +0000)
committerDmitry Selyutin <ghostmansd@gmail.com>
Wed, 18 May 2022 09:41:06 +0000 (09:41 +0000)
ghostmansd.mdwn

index 975121866c67f5fee91acfe056f04facd82b0a49..73ef1fddceeaa81c7b76aa0f82e0fb44608500a7 100644 (file)
@@ -1,43 +1,55 @@
+<!-- autogenerated by budget-sync -->
+
 # Dmitry Selyutin (ghostmansd)
 
-S/W developer
 
-ghostmansd@gmail.com
 
-# Status tracking
+# Status Tracking
+
+
+## Currently working on
+
+* [Bug #671](https://bugs.libre-soc.org/show_bug.cgi?id=671):
+  convert spec pseudocode to use XLEN width
+* [Bug #833](https://bugs.libre-soc.org/show_bug.cgi?id=833):
+  svp64: generate code for integrating SVP64 concepts into binutils
+* [Bug #834](https://bugs.libre-soc.org/show_bug.cgi?id=834):
+  binutils: support draft fsins/fcoss/ternlogi instructions
+
+## Completed but not yet paid
+
 
-## In progress
+### NLNet.2019.10.Standards
 
-- <https://bugs.libre-soc.org/show_bug.cgi?id=663> implement SVP64 element-width overrides
-- <https://bugs.libre-soc.org/show_bug.cgi?id=671> convert spec pseudocode to use XLEN width
+* [Bug #656](https://bugs.libre-soc.org/show_bug.cgi?id=656):
+  add v3\.0B BCD instructions to simulator
+    * &euro;100 out of total of &euro;200
+* [Bug #657](https://bugs.libre-soc.org/show_bug.cgi?id=657):
+  unit tests needed for BCD instructions
+    * &euro;150 out of total of &euro;300
+* [Bug #712](https://bugs.libre-soc.org/show_bug.cgi?id=712):
+  introduce XLEN\-friendly helper class which aggregates all helpers
+    * &euro;325 out of total of &euro;500
+* [Bug #723](https://bugs.libre-soc.org/show_bug.cgi?id=723):
+  implement standalone extsb/extsh/extsw tests
+    * &euro;297.50 out of total of &euro;350
 
-## Done not yet submitted
+### NLNet.2019.10.Wishbone
 
-## Submitted RPFs
+* [Bug #660](https://bugs.libre-soc.org/show_bug.cgi?id=660):
+  "First developer steps" documentation page
+    * &euro;125 out of total of &euro;250
 
-- <https://bugs.libre-soc.org/show_bug.cgi?id=656> First Steps documentation page
-   - 5/9/21
-   - EUR 100
-   - 50:50 dmitry/maciej
-- <https://bugs.libre-soc.org/show_bug.cgi?id=657> BCD instructions unit tests
-   - 5/9/21
-   - EUR 150
-   - 50:50 dmitry/maciej
-- <https://bugs.libre-soc.org/show_bug.cgi?id=660> BCD instructions implementation
-   - 5/9/21
-   - EUR 125
-   - 50:50 dmitry/maciej
- - <https://bugs.libre-soc.org/show_bug.cgi?id=712> ISACaller supporting XLEN
-   - EUR 500 shared between:
-   - EUR 100 [[lkcl]]
-   - EUR 325 dmitry
-   - EUR 75 maciej
+## Submitted to NLNet but not yet paid
 
-- <https://bugs.libre-soc.org/show_bug.cgi?id=723> implement standalone extsb/extsh/extsw tests
-  - EUR 297.5 dmitry
-  - EUR 52.5 maciej
 
-## Done
+### NLNet.2019.10.Formal
 
-- <https://bugs.libre-soc.org/show_bug.cgi?id=679> not cherry-picking popcntw XLEN or cnttz XLEN
-- <https://bugs.libre-soc.org/show_bug.cgi?id=680> bpermd XLEN update needs refinement
+* [Bug #833](https://bugs.libre-soc.org/show_bug.cgi?id=833):
+  svp64: generate code for integrating SVP64 concepts into binutils
+    * submitted on 2022-05-13
+    * &euro;1000 which is the total amount
+* [Bug #834](https://bugs.libre-soc.org/show_bug.cgi?id=834):
+  binutils: support draft fsins/fcoss/ternlogi instructions
+    * submitted on 2022-05-17
+    * &euro;500 out of total of &euro;725