comment about UARTResource for orangecrab
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 Apr 2022 22:54:10 +0000 (23:54 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 15 Apr 2022 22:54:10 +0000 (23:54 +0100)
src/ls2.py

index 6226589c1e46fe8fdbe7133c7794b470437879ef..a9590853bce643a53f9280fa3465dbb534aa79e8 100644 (file)
@@ -884,6 +884,7 @@ def build_platform(fpga, firmware):
     # get UART resource pins
     if platform is not None:
         if fpga=="orangecrab":
+            # assumes an FT232 USB-UART soldered onto these two pins.
             orangecrab_uart = UARTResource(0, rx="N17", tx="M18",
                                 attrs=Attrs(IOSTANDARD="LVCMOS33"))
             platform.add_resources([orangecrab_uart])