add always ready/enabled to get/put
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 30 Jul 2018 10:38:22 +0000 (11:38 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 30 Jul 2018 10:38:22 +0000 (11:38 +0100)
src/lib/ifc_sync.bsv

index c17920636609ceb7dd9bc3e8f3ce6c19fb09865b..49da8230fb3ed9ff04f3fffa54250187475b3ae7 100644 (file)
@@ -5,7 +5,9 @@ package ifc_sync;
 
   (*always_ready,always_enabled*)
   interface Ifc_sync#(type a);
+    (*always_ready,always_enabled*)
     interface Put#(a) put;
+    (*always_ready,always_enabled*)
     interface Get#(a) get;
   endinterface
   module mksyncconnection#(Clock putclock, Reset putreset,