convert rgbttl interface to get/put
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Tue, 24 Jul 2018 10:00:57 +0000 (11:00 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Tue, 24 Jul 2018 10:01:07 +0000 (11:01 +0100)
src/peripherals/rgbttl/rgbttl_dummy.bsv

index 0a4a7d87a03448fa3fdf11fc4f92262c80fde54b..7ef4343c62126269a642340a831312cbf4e21cca 100644 (file)
@@ -39,11 +39,11 @@ package rgbttl_dummy;
 
   interface Ifc_rgbttl_dummy;
          interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave;
-    method  Bit#(1) de; 
-    method  Bit#(1) ck;
-    method  Bit#(1) vs;
-    method  Bit#(1) hs;
-    method  Bit#(`RGBTTL_WIDTH) data;
+      interface Get#(Bit#(1)) de;
+      interface Get#(Bit#(1)) ck;
+      interface Get#(Bit#(1)) vs;
+      interface Get#(Bit#(1)) hs;
+      interface Get#(Bit#(`RGBTTL_WIDTH)) data;
   endinterface
 
   (*synthesize*)
@@ -57,11 +57,36 @@ package rgbttl_dummy;
       Reg#(Bit#(1)) rg_hs <- mkReg(0);
       Reg#(Bit#(`RGBTTL_WIDTH)) rg_data <- mkReg(0);
 
-    method  de = rg_de; 
-    method  ck = rg_ck;
-    method  vs = rg_vs;
-    method  hs = rg_hs;
-    method  data = rg_data;
-    interface slave=s_xactor.axi_side;
+      interface de = interface Get
+        method ActionValue#(Bit#(1)) get;
+          return rg_de;
+        endmethod
+      endinterface;
+
+      interface ck = interface Get
+        method ActionValue#(Bit#(1)) get;
+          return rg_ck;
+        endmethod
+      endinterface;
+
+      interface vs = interface Get
+        method ActionValue#(Bit#(1)) get;
+          return rg_vs;
+        endmethod
+      endinterface;
+
+      interface hs = interface Get
+        method ActionValue#(Bit#(1)) get;
+          return rg_hs;
+        endmethod
+      endinterface;
+
+      interface data = interface Get
+        method ActionValue#(Bit#(`RGBTTL_WIDTH)) get;
+          return data;
+        endmethod
+      endinterface;
+
+      interface slave=s_xactor.axi_side;
   endmodule
 endpackage