use vcd for wave output not ghw
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 10 Apr 2021 15:39:09 +0000 (16:39 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 10 Apr 2021 15:39:09 +0000 (16:39 +0100)
ls180/post_pnr/cocotb/Makefile

index 006c168beb8555df515f24a90fb29848f48e8c2a..360364aacf7460dce6707ecf0cd5752c17ff9770 100644 (file)
@@ -21,7 +21,7 @@ MODULE=test
 SIM=ghdl
 GPI_IMPL=vhpi
 GHDL_ARGS=--std=08
-SIM_ARGS=--wave=test.ghw
+SIM_ARGS?=--vcd=test.vcd
 
 COCOTBMAKEFILESDIR=$(shell cocotb-config --makefiles)