compress dependency matrix outputs into a row
[soc.git] / src / scoreboard / dependence_cell.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Signal, Elaboratable, Array, Cat
4 from nmutil.latch import SRLatch
5
6
7 class DependenceCell(Elaboratable):
8 """ implements 11.4.7 mitch alsup dependence cell, p27
9 """
10 def __init__(self):
11 # inputs
12 self.dest_i = Signal(reset_less=True) # Dest in (top)
13 self.src1_i = Signal(reset_less=True) # oper1 in (top)
14 self.src2_i = Signal(reset_less=True) # oper2 in (top)
15 self.issue_i = Signal(reset_less=True) # Issue in (top)
16
17 self.go_wr_i = Signal(reset_less=True) # Go Write in (left)
18 self.go_rd_i = Signal(reset_less=True) # Go Read in (left)
19
20 # for Register File Select Lines (vertical)
21 self.dest_rsel_o = Signal(reset_less=True) # dest reg sel (bottom)
22 self.src1_rsel_o = Signal(reset_less=True) # src1 reg sel (bottom)
23 self.src2_rsel_o = Signal(reset_less=True) # src2 reg sel (bottom)
24
25 # for Function Unit "forward progress" (horizontal)
26 self.dest_fwd_o = Signal(reset_less=True) # dest FU fw (right)
27 self.src1_fwd_o = Signal(reset_less=True) # src1 FU fw (right)
28 self.src2_fwd_o = Signal(reset_less=True) # src2 FU fw (right)
29
30 def elaborate(self, platform):
31 m = Module()
32 m.submodules.dest_l = dest_l = SRLatch() # clock-sync'd
33 m.submodules.src1_l = src1_l = SRLatch() # clock-sync'd
34 m.submodules.src2_l = src2_l = SRLatch() # clock-sync'd
35
36 # destination latch: reset on go_wr HI, set on dest and issue
37 m.d.comb += dest_l.s.eq(self.issue_i & self.dest_i)
38 m.d.comb += dest_l.r.eq(self.go_wr_i)
39
40 # src1 latch: reset on go_rd HI, set on src1_i and issue
41 m.d.comb += src1_l.s.eq(self.issue_i & self.src1_i)
42 m.d.comb += src1_l.r.eq(self.go_rd_i)
43
44 # src2 latch: reset on go_rd HI, set on op2_i and issue
45 m.d.comb += src2_l.s.eq(self.issue_i & self.src2_i)
46 m.d.comb += src2_l.r.eq(self.go_rd_i)
47
48 # FU "Forward Progress" (read out horizontally)
49 m.d.comb += self.dest_fwd_o.eq(dest_l.q & self.go_wr_i)
50 m.d.comb += self.src1_fwd_o.eq(src1_l.q & self.go_rd_i)
51 m.d.comb += self.src2_fwd_o.eq(src2_l.q & self.go_rd_i)
52
53 # Register File Select (read out vertically)
54 m.d.comb += self.dest_rsel_o.eq(dest_l.q & self.dest_i)
55 m.d.comb += self.src1_rsel_o.eq(src1_l.q & self.src1_i)
56 m.d.comb += self.src2_rsel_o.eq(src2_l.q & self.src2_i)
57
58 return m
59
60 def __iter__(self):
61 yield self.dest_i
62 yield self.src1_i
63 yield self.src2_i
64 yield self.issue_i
65 yield self.go_wr_i
66 yield self.go_rd_i
67 yield self.dest_rsel_o
68 yield self.src1_rsel_o
69 yield self.src2_rsel_o
70 yield self.dest_fwd_o
71 yield self.src1_fwd_o
72 yield self.src2_fwd_o
73
74 def ports(self):
75 return list(self)
76
77
78 class DependencyRow(Elaboratable):
79 def __init__(self, n_reg_col):
80 self.n_reg_col = n_reg_col
81
82 # ----
83 # fields all match DependencyCell precisely
84
85 self.dest_i = Signal(n_reg_col, reset_less=True)
86 self.src1_i = Signal(n_reg_col, reset_less=True)
87 self.src2_i = Signal(n_reg_col, reset_less=True)
88 self.issue_i = Signal(n_reg_col, reset_less=True)
89
90 self.go_wr_i = Signal(n_reg_col, reset_less=True)
91 self.go_rd_i = Signal(n_reg_col, reset_less=True)
92
93 self.dest_rsel_o = Signal(n_reg_col, reset_less=True)
94 self.src1_rsel_o = Signal(n_reg_col, reset_less=True)
95 self.src2_rsel_o = Signal(n_reg_col, reset_less=True)
96
97 self.dest_fwd_o = Signal(n_reg_col, reset_less=True)
98 self.src1_fwd_o = Signal(n_reg_col, reset_less=True)
99 self.src2_fwd_o = Signal(n_reg_col, reset_less=True)
100
101 def elaborate(self, platform):
102 m = Module()
103 rcell = Array(DependenceCell() for f in range(self.n_reg_col))
104 for rn in range(self.n_reg_col):
105 setattr(m.submodules, "dm_r%d" % rn, rcell[rn])
106
107 # ---
108 # connect Dep dest/src to module dest/src
109 # ---
110 dest_i = []
111 src1_i = []
112 src2_i = []
113 for rn in range(self.n_reg_col):
114 dc = rcell[rn]
115 # accumulate cell inputs dest/src1/src2
116 dest_i.append(dc.dest_i)
117 src1_i.append(dc.src1_i)
118 src2_i.append(dc.src2_i)
119 # wire up inputs from module to row cell inputs (Cat is gooood)
120 m.d.comb += [Cat(*dest_i).eq(self.dest_i),
121 Cat(*src1_i).eq(self.src1_i),
122 Cat(*src2_i).eq(self.src2_i),
123 ]
124
125 # ---
126 # connect Dep issue_i/go_rd_i/go_wr_i to module issue_i/go_rd/go_wr
127 # ---
128 go_rd_i = []
129 go_wr_i = []
130 issue_i = []
131 for rn in range(self.n_reg_col):
132 dc = rcell[rn]
133 # accumulate cell outputs for issue/go_rd/go_wr
134 go_rd_i.append(dc.go_rd_i)
135 go_wr_i.append(dc.go_wr_i)
136 issue_i.append(dc.issue_i)
137 # wire up inputs from module to row cell inputs (Cat is gooood)
138 m.d.comb += [Cat(*go_rd_i).eq(self.go_rd_i),
139 Cat(*go_wr_i).eq(self.go_wr_i),
140 Cat(*issue_i).eq(self.issue_i),
141 ]
142
143 return m
144
145
146 def dcell_sim(dut):
147 yield dut.dest_i.eq(1)
148 yield dut.issue_i.eq(1)
149 yield
150 yield dut.issue_i.eq(0)
151 yield
152 yield dut.src1_i.eq(1)
153 yield dut.issue_i.eq(1)
154 yield
155 yield
156 yield
157 yield dut.issue_i.eq(0)
158 yield
159 yield dut.go_rd_i.eq(1)
160 yield
161 yield dut.go_rd_i.eq(0)
162 yield
163 yield dut.go_wr_i.eq(1)
164 yield
165 yield dut.go_wr_i.eq(0)
166 yield
167
168 def test_dcell():
169 dut = DependenceCell()
170 vl = rtlil.convert(dut, ports=dut.ports())
171 with open("test_dcell.il", "w") as f:
172 f.write(vl)
173
174 run_simulation(dut, dcell_sim(dut), vcd_name='test_dcell.vcd')
175
176 if __name__ == '__main__':
177 test_dcell()