Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.17 .. Yosys 0.17-dev
6 --------------------------
7
8 Yosys 0.16 .. Yosys 0.17
9 --------------------------
10 * New commands and options
11 - Added "write_jny" ( JSON netlist metadata format )
12 - Added "tribuf -formal"
13
14 * SystemVerilog
15 - Fixed automatic `nosync` inference for local variables in `always_comb`
16 procedures not applying to nested blocks and blocks in functions
17
18 Yosys 0.15 .. Yosys 0.16
19 --------------------------
20 * Various
21 - Added BTOR2 witness file co-simulation.
22 - Simulation calls external vcd2fst for VCD conversion.
23 - Added fst2tb pass - generates testbench for the circuit using
24 the given top-level module and simulus signal from FST file.
25 - yosys-smtbmc: Option to keep going after failed assertions in BMC mode
26
27 * Verific support
28 - Import modules in alphabetic (reproducable) order.
29
30 Yosys 0.14 .. Yosys 0.15
31 --------------------------
32
33 * Various
34 - clk2fflogic: nice names for autogenerated signals
35 - simulation include support for all flip-flop types.
36 - Added AIGER witness file co-simulation.
37
38 * Verilog
39 - Fixed evaluation of constant functions with variables or arguments with
40 reversed dimensions
41 - Fixed elaboration of dynamic range assignments where the vector is
42 reversed or is not zero-indexed
43 - Added frontend support for time scale delay values (e.g., `#1ns`)
44
45 * SystemVerilog
46 - Added support for accessing whole sub-structures in expressions
47
48 * New commands and options
49 - Added glift command, used to create gate-level information flow tracking
50 (GLIFT) models by the "constructive mapping" approach
51
52 * Verific support
53 - Ability to override default parser mode for verific -f command.
54
55 Yosys 0.13 .. Yosys 0.14
56 --------------------------
57
58 * Various
59 - Added $bmux and $demux cells and related optimization patterns.
60
61 * New commands and options
62 - Added "bmuxmap" and "dmuxmap" passes
63 - Added "-fst" option to "sim" pass for writing FST files
64 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
65 "-sim-gold" options to "sim" pass for co-simulation
66
67 * Anlogic support
68 - Added support for BRAMs
69
70 Yosys 0.12 .. Yosys 0.13
71 --------------------------
72
73 * Various
74 - Use "read" command to parse HDL files from Yosys command-line
75 - Added "yosys -r <topmodule>" command line option
76 - write_verilog: dump zero width sigspecs correctly
77
78 * SystemVerilog
79 - Fixed regression preventing the use array querying functions in case
80 expressions and case item expressions
81 - Fixed static size casts inadvertently limiting the result width of binary
82 operations
83 - Fixed static size casts ignoring expression signedness
84 - Fixed static size casts not extending unbased unsized literals
85 - Added automatic `nosync` inference for local variables in `always_comb`
86 procedures which are always assigned before they are used to avoid errant
87 latch inference
88
89 * New commands and options
90 - Added "clean_zerowidth" pass
91
92 * Verific support
93 - Add YOSYS to the implicitly defined verilog macros in verific
94
95 Yosys 0.11 .. Yosys 0.12
96 --------------------------
97
98 * Various
99 - Added iopadmap native support for negative-polarity output enable
100 - ABC update
101
102 * SystemVerilog
103 - Support parameters using struct as a wiretype
104
105 * New commands and options
106 - Added "-genlib" option to "abc" pass
107 - Added "sta" very crude static timing analysis pass
108
109 * Verific support
110 - Fixed memory block size in import
111
112 * New back-ends
113 - Added support for GateMate FPGA from Cologne Chip AG
114
115 * Intel ALM support
116 - Added preliminary Arria V support
117
118
119 Yosys 0.10 .. Yosys 0.11
120 --------------------------
121
122 * Various
123 - Added $aldff and $aldffe (flip-flops with async load) cells
124
125 * SystemVerilog
126 - Fixed an issue which prevented writing directly to a memory word via a
127 connection to an output port
128 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
129 filling the width of a cell input
130 - Fixed an issue where connecting a slice covering the entirety of a signed
131 signal to a cell input would cause a failed assertion
132
133 * Verific support
134 - Importer support for {PRIM,WIDE_OPER}_DFF
135 - Importer support for PRIM_BUFIF1
136 - Option to use Verific without VHDL support
137 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
138 - Added -cfg option for getting/setting Verific runtime flags
139
140 Yosys 0.9 .. Yosys 0.10
141 --------------------------
142
143 * Various
144 - Added automatic gzip decompression for frontends
145 - Added $_NMUX_ cell type
146 - Added automatic gzip compression (based on filename extension) for backends
147 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
148 bit vectors and strings containing [01xz]*
149 - Improvements in pmgen: subpattern and recursive matches
150 - Support explicit FIRRTL properties
151 - Improvements in pmgen: slices, choices, define, generate
152 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
153 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
154 - Added new frontend: rpc
155 - Added --version and -version as aliases for -V
156 - Improve yosys-smtbmc "solver not found" handling
157 - Improved support of $readmem[hb] Memory Content File inclusion
158 - Added CXXRTL backend
159 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
160 - Added WASI platform support.
161 - Added extmodule support to firrtl backend
162 - Added $divfloor and $modfloor cells
163 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
164 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
165 - Added firrtl backend support for generic parameters in blackbox components
166 - Added $meminit_v2 cells (with support for write mask)
167 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
168 - write priority masks, per write/write port pair
169 - transparency and undefined collision behavior masks, per read/write port pair
170 - read port reset and initialization
171 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
172
173 * New commands and options
174 - Added "write_xaiger" backend
175 - Added "read_xaiger"
176 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
177 - Added "synth -abc9" (experimental)
178 - Added "script -scriptwire"
179 - Added "clkbufmap" pass
180 - Added "extractinv" pass and "invertible_pin" attribute
181 - Added "proc_clean -quiet"
182 - Added "proc_prune" pass
183 - Added "stat -tech cmos"
184 - Added "opt_share" pass, run as part of "opt -full"
185 - Added "-match-init" option to "dff2dffs" pass
186 - Added "equiv_opt -multiclock"
187 - Added "techmap_autopurge" support to techmap
188 - Added "add -mod <modname[s]>"
189 - Added "paramap" pass
190 - Added "portlist" command
191 - Added "check -mapped"
192 - Added "check -allow-tbuf"
193 - Added "autoname" pass
194 - Added "write_verilog -extmem"
195 - Added "opt_mem" pass
196 - Added "scratchpad" pass
197 - Added "fminit" pass
198 - Added "opt_lut_ins" pass
199 - Added "logger" pass
200 - Added "show -nobg"
201 - Added "exec" command
202 - Added "design -delete"
203 - Added "design -push-copy"
204 - Added "qbfsat" command
205 - Added "select -unset"
206 - Added "dfflegalize" pass
207 - Removed "opt_expr -clkinv" option, made it the default
208 - Added "proc -nomux
209 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
210
211 * SystemVerilog
212 - Added checking of always block types (always_comb, always_latch and always_ff)
213 - Added support for wildcard port connections (.*)
214 - Added support for enum typedefs
215 - Added support for structs and packed unions.
216 - Allow constant function calls in for loops and generate if and case
217 - Added support for static cast
218 - Added support for logic typed parameters
219 - Fixed generate scoping issues
220 - Added support for real-valued parameters
221 - Allow localparams in constant functions
222 - Module name scope support
223 - Support recursive functions using ternary expressions
224 - Extended support for integer types
225 - Support for parameters without default values
226 - Allow globals in one file to depend on globals in another
227 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
228 - Added support for parsing the 'bind' construct
229 - support declaration in procedural for initialization
230 - support declaration in generate for initialization
231 - Support wand and wor of data types
232
233 * Verific support
234 - Added "verific -L"
235 - Add Verific SVA support for "always" properties
236 - Add Verific support for SVA nexttime properties
237 - Improve handling of verific primitives in "verific -import -V" mode
238 - Import attributes for wires
239 - Support VHDL enums
240 - Added support for command files
241
242 * New back-ends
243 - Added initial EFINIX support
244 - Added Intel ALM: alternative synthesis for Intel FPGAs
245 - Added initial Nexus support
246 - Added initial MachXO2 support
247 - Added initial QuickLogic PolarPro 3 support
248
249 * ECP5 support
250 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
251 - Added "synth_ecp5 -abc9" (experimental)
252 - Added "synth_ecp5 -nowidelut"
253 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
254
255 * iCE40 support
256 - Added "synth_ice40 -abc9" (experimental)
257 - Added "synth_ice40 -device"
258 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
259 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
260 - Removed "ice40_unlut"
261 - Added "ice40_dsp" for Lattice iCE40 DSP packing
262 - "synth_ice40 -dsp" to infer DSP blocks
263
264 * Xilinx support
265 - Added "synth_xilinx -abc9" (experimental)
266 - Added "synth_xilinx -nocarry"
267 - Added "synth_xilinx -nowidelut"
268 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
269 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
270 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
271 - Added "synth_xilinx -ise" (experimental)
272 - Added "synth_xilinx -iopad"
273 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
274 - Added "xilinx_srl" for Xilinx shift register extraction
275 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
276 - Added "xilinx_dsp" for Xilinx DSP packing
277 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
278 - Added latch support to synth_xilinx
279 - Added support for flip-flops with synchronous reset to synth_xilinx
280 - Added support for flip-flops with reset and enable to synth_xilinx
281 - Added "xilinx_dffopt" pass
282 - Added "synth_xilinx -dff"
283
284 * Intel support
285 - Renamed labels in synth_intel (e.g. bram -> map_bram)
286 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
287 - Added "intel_alm -abc9" (experimental)
288
289 * CoolRunner2 support
290 - Separate and improve buffer cell insertion pass
291 - Use extract_counter to optimize counters
292
293 Yosys 0.8 .. Yosys 0.9
294 ----------------------
295
296 * Various
297 - Many bugfixes and small improvements
298 - Added support for SystemVerilog interfaces and modports
299 - Added "write_edif -attrprop"
300 - Added "opt_lut" pass
301 - Added "gate2lut.v" techmap rule
302 - Added "rename -src"
303 - Added "equiv_opt" pass
304 - Added "flowmap" LUT mapping pass
305 - Added "rename -wire" to rename cells based on the wires they drive
306 - Added "bugpoint" for creating minimised testcases
307 - Added "write_edif -gndvccy"
308 - "write_verilog" to escape Verilog keywords
309 - Fixed sign handling of real constants
310 - "write_verilog" to write initial statement for initial flop state
311 - Added pmgen pattern matcher generator
312 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
313 - Added "setundef -params" to replace undefined cell parameters
314 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
315 - Fixed handling of defparam when default_nettype is none
316 - Fixed "wreduce" flipflop handling
317 - Fixed FIRRTL to Verilog process instance subfield assignment
318 - Added "write_verilog -siminit"
319 - Several fixes and improvements for mem2reg memories
320 - Fixed handling of task output ports in clocked always blocks
321 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
322 - Added "read_aiger" frontend
323 - Added "mutate" pass
324 - Added "hdlname" attribute
325 - Added "rename -output"
326 - Added "read_ilang -lib"
327 - Improved "proc" full_case detection and handling
328 - Added "whitebox" and "lib_whitebox" attributes
329 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
330 - Added Python bindings and support for Python plug-ins
331 - Added "pmux2shiftx"
332 - Added log_debug framework for reduced default verbosity
333 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
334 - Added "peepopt" peephole optimisation pass using pmgen
335 - Added approximate support for SystemVerilog "var" keyword
336 - Added parsing of "specify" blocks into $specrule and $specify[23]
337 - Added support for attributes on parameters and localparams
338 - Added support for parsing attributes on port connections
339 - Added "wreduce -keepdc"
340 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
341 - Added Verilog wand/wor wire type support
342 - Added support for elaboration system tasks
343 - Added "muxcover -mux{4,8,16}=<cost>"
344 - Added "muxcover -dmux=<cost>"
345 - Added "muxcover -nopartial"
346 - Added "muxpack" pass
347 - Added "pmux2shiftx -norange"
348 - Added support for "~" in filename parsing
349 - Added "read_verilog -pwires" feature to turn parameters into wires
350 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
351 - Fixed genvar to be a signed type
352 - Added support for attributes on case rules
353 - Added "upto" and "offset" to JSON frontend and backend
354 - Several liberty file parser improvements
355 - Fixed handling of more complex BRAM patterns
356 - Add "write_aiger -I -O -B"
357
358 * Formal Verification
359 - Added $changed support to read_verilog
360 - Added "read_verilog -noassert -noassume -assert-assumes"
361 - Added btor ops for $mul, $div, $mod and $concat
362 - Added yosys-smtbmc support for btor witnesses
363 - Added "supercover" pass
364 - Fixed $global_clock handling vs autowire
365 - Added $dffsr support to "async2sync"
366 - Added "fmcombine" pass
367 - Added memory init support in "write_btor"
368 - Added "cutpoint" pass
369 - Changed "ne" to "neq" in btor2 output
370 - Added support for SVA "final" keyword
371 - Added "fmcombine -initeq -anyeq"
372 - Added timescale and generated-by header to yosys-smtbmc vcd output
373 - Improved BTOR2 handling of undriven wires
374
375 * Verific support
376 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
377 - Improved support for asymmetric memories
378 - Added "verific -chparam"
379 - Fixed "verific -extnets" for more complex situations
380 - Added "read -verific" and "read -noverific"
381 - Added "hierarchy -chparam"
382
383 * New back-ends
384 - Added initial Anlogic support
385 - Added initial SmartFusion2 and IGLOO2 support
386
387 * ECP5 support
388 - Added "synth_ecp5 -nowidelut"
389 - Added BRAM inference support to "synth_ecp5"
390 - Added support for transforming Diamond IO and flipflop primitives
391
392 * iCE40 support
393 - Added "ice40_unlut" pass
394 - Added "synth_ice40 -relut"
395 - Added "synth_ice40 -noabc"
396 - Added "synth_ice40 -dffe_min_ce_use"
397 - Added DSP inference support using pmgen
398 - Added support for initialising BRAM primitives from a file
399 - Added iCE40 Ultra RGB LED driver cells
400
401 * Xilinx support
402 - Use "write_edif -pvector bra" for Xilinx EDIF files
403 - Fixes for VPR place and route support with "synth_xilinx"
404 - Added more cell simulation models
405 - Added "synth_xilinx -family"
406 - Added "stat -tech xilinx" to estimate logic cell usage
407 - Added "synth_xilinx -nocarry"
408 - Added "synth_xilinx -nowidelut"
409 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
410 - Added support for mapping RAM32X1D
411
412 Yosys 0.7 .. Yosys 0.8
413 ----------------------
414
415 * Various
416 - Many bugfixes and small improvements
417 - Strip debug symbols from installed binary
418 - Replace -ignore_redef with -[no]overwrite in front-ends
419 - Added write_verilog hex dump support, add -nohex option
420 - Added "write_verilog -decimal"
421 - Added "scc -set_attr"
422 - Added "verilog_defines" command
423 - Remember defines from one read_verilog to next
424 - Added support for hierarchical defparam
425 - Added FIRRTL back-end
426 - Improved ABC default scripts
427 - Added "design -reset-vlog"
428 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
429 - Added Verilog $rtoi and $itor support
430 - Added "check -initdrv"
431 - Added "read_blif -wideports"
432 - Added support for SystemVerilog "++" and "--" operators
433 - Added support for SystemVerilog unique, unique0, and priority case
434 - Added "write_edif" options for edif "flavors"
435 - Added support for resetall compiler directive
436 - Added simple C beck-end (bitwise combinatorical only atm)
437 - Added $_ANDNOT_ and $_ORNOT_ cell types
438 - Added cell library aliases to "abc -g"
439 - Added "setundef -anyseq"
440 - Added "chtype" command
441 - Added "design -import"
442 - Added "write_table" command
443 - Added "read_json" command
444 - Added "sim" command
445 - Added "extract_fa" and "extract_reduce" commands
446 - Added "extract_counter" command
447 - Added "opt_demorgan" command
448 - Added support for $size and $bits SystemVerilog functions
449 - Added "blackbox" command
450 - Added "ltp" command
451 - Added support for editline as replacement for readline
452 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
453 - Added "yosys -E" for creating Makefile dependencies files
454 - Added "synth -noshare"
455 - Added "memory_nordff"
456 - Added "setundef -undef -expose -anyconst"
457 - Added "expose -input"
458 - Added specify/specparam parser support (simply ignore them)
459 - Added "write_blif -inames -iattr"
460 - Added "hierarchy -simcheck"
461 - Added an option to statically link abc into yosys
462 - Added protobuf back-end
463 - Added BLIF parsing support for .conn and .cname
464 - Added read_verilog error checking for reg/wire/logic misuse
465 - Added "make coverage" and ENABLE_GCOV build option
466
467 * Changes in Yosys APIs
468 - Added ConstEval defaultval feature
469 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
470 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
471 - Added log_file_warning() and log_file_error() functions
472
473 * Formal Verification
474 - Added "write_aiger"
475 - Added "yosys-smtbmc --aig"
476 - Added "always <positive_int>" to .smtc format
477 - Added $cover cell type and support for cover properties
478 - Added $fair/$live cell type and support for liveness properties
479 - Added smtbmc support for memory vcd dumping
480 - Added "chformal" command
481 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
482 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
483 - Change to Yices2 as default SMT solver (it is GPL now)
484 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
485 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
486 - Added a brand new "write_btor" command for BTOR2
487 - Added clk2fflogic memory support and other improvements
488 - Added "async memory write" support to write_smt2
489 - Simulate clock toggling in yosys-smtbmc VCD output
490 - Added $allseq/$allconst cells for EA-solving
491 - Make -nordff the default in "prep"
492 - Added (* gclk *) attribute
493 - Added "async2sync" pass for single-clock designs with async resets
494
495 * Verific support
496 - Many improvements in Verific front-end
497 - Added proper handling of concurent SVA properties
498 - Map "const" and "rand const" to $anyseq/$anyconst
499 - Added "verific -import -flatten" and "verific -import -extnets"
500 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
501 - Remove PSL support (because PSL has been removed in upstream Verific)
502 - Improve integration with "hierarchy" command design elaboration
503 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
504 - Added simpilied "read" command that automatically uses verific if available
505 - Added "verific -set-<severity> <msg_id>.."
506 - Added "verific -work <libname>"
507
508 * New back-ends
509 - Added initial Coolrunner-II support
510 - Added initial eASIC support
511 - Added initial ECP5 support
512
513 * GreenPAK Support
514 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
515
516 * iCE40 Support
517 - Add "synth_ice40 -vpr"
518 - Add "synth_ice40 -nodffe"
519 - Add "synth_ice40 -json"
520 - Add Support for UltraPlus cells
521
522 * MAX10 and Cyclone IV Support
523 - Added initial version of metacommand "synth_intel".
524 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
525 - Added support for MAX10 FPGA family synthesis.
526 - Added support for Cyclone IV family synthesis.
527 - Added example of implementation for DE2i-150 board.
528 - Added example of implementation for MAX10 development kit.
529 - Added LFSR example from Asic World.
530 - Added "dffinit -highlow" for mapping to Intel primitives
531
532
533 Yosys 0.6 .. Yosys 0.7
534 ----------------------
535
536 * Various
537 - Added "yosys -D" feature
538 - Added support for installed plugins in $(DATDIR)/plugins/
539 - Renamed opt_const to opt_expr
540 - Renamed opt_share to opt_merge
541 - Added "prep -flatten" and "synth -flatten"
542 - Added "prep -auto-top" and "synth -auto-top"
543 - Using "mfs" and "lutpack" in ABC lut mapping
544 - Support for abstract modules in chparam
545 - Cleanup abstract modules at end of "hierarchy -top"
546 - Added tristate buffer support to iopadmap
547 - Added opt_expr support for div/mod by power-of-two
548 - Added "select -assert-min <N> -assert-max <N>"
549 - Added "attrmvcp" pass
550 - Added "attrmap" command
551 - Added "tee +INT -INT"
552 - Added "zinit" pass
553 - Added "setparam -type"
554 - Added "shregmap" pass
555 - Added "setundef -init"
556 - Added "nlutmap -assert"
557 - Added $sop cell type and "abc -sop -I <num> -P <num>"
558 - Added "dc2" to default ABC scripts
559 - Added "deminout"
560 - Added "insbuf" command
561 - Added "prep -nomem"
562 - Added "opt_rmdff -keepdc"
563 - Added "prep -nokeepdc"
564 - Added initial version of "synth_gowin"
565 - Added "fsm_expand -full"
566 - Added support for fsm_encoding="user"
567 - Many improvements in GreenPAK4 support
568 - Added black box modules for all Xilinx 7-series lib cells
569 - Added synth_ice40 support for latches via logic loops
570 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
571
572 * Build System
573 - Added ABCEXTERNAL and ABCURL make variables
574 - Added BINDIR, LIBDIR, and DATDIR make variables
575 - Added PKG_CONFIG make variable
576 - Added SEED make variable (for "make test")
577 - Added YOSYS_VER_STR make variable
578 - Updated min GCC requirement to GCC 4.8
579 - Updated required Bison version to Bison 3.x
580
581 * Internal APIs
582 - Added ast.h to exported headers
583 - Added ScriptPass helper class for script-like passes
584 - Added CellEdgesDatabase API
585
586 * Front-ends and Back-ends
587 - Added filename glob support to all front-ends
588 - Added avail (black-box) module params to ilang format
589 - Added $display %m support
590 - Added support for $stop Verilog system task
591 - Added support for SystemVerilog packages
592 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
593 - Added support for "active high" and "active low" latches in read_blif and write_blif
594 - Use init value "2" for all uninitialized FFs in BLIF back-end
595 - Added "read_blif -sop"
596 - Added "write_blif -noalias"
597 - Added various write_blif options for VTR support
598 - write_json: also write module attributes.
599 - Added "write_verilog -nodec -nostr -defparam"
600 - Added "read_verilog -norestrict -assume-asserts"
601 - Added support for bus interfaces to "read_liberty -lib"
602 - Added liberty parser support for types within cell decls
603 - Added "write_verilog -renameprefix -v"
604 - Added "write_edif -nogndvcc"
605
606 * Formal Verification
607 - Support for hierarchical designs in smt2 back-end
608 - Yosys-smtbmc: Support for hierarchical VCD dumping
609 - Added $initstate cell type and vlog function
610 - Added $anyconst and $anyseq cell types and vlog functions
611 - Added printing of code loc of failed asserts to yosys-smtbmc
612 - Added memory_memx pass, "memory -memx", and "prep -memx"
613 - Added "proc_mux -ifx"
614 - Added "yosys-smtbmc -g"
615 - Deprecated "write_smt2 -regs" (by default on now)
616 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
617 - Added support for memories to smtio.py
618 - Added "yosys-smtbmc --dump-vlogtb"
619 - Added "yosys-smtbmc --smtc --dump-smtc"
620 - Added "yosys-smtbmc --dump-all"
621 - Added assertpmux command
622 - Added "yosys-smtbmc --unroll"
623 - Added $past, $stable, $rose, $fell SVA functions
624 - Added "yosys-smtbmc --noinfo and --dummy"
625 - Added "yosys-smtbmc --noincr"
626 - Added "yosys-smtbmc --cex <filename>"
627 - Added $ff and $_FF_ cell types
628 - Added $global_clock verilog syntax support for creating $ff cells
629 - Added clk2fflogic
630
631
632 Yosys 0.5 .. Yosys 0.6
633 ----------------------
634
635 * Various
636 - Added Contributor Covenant Code of Conduct
637 - Various improvements in dict<> and pool<>
638 - Added hashlib::mfp and refactored SigMap
639 - Improved support for reals as module parameters
640 - Various improvements in SMT2 back-end
641 - Added "keep_hierarchy" attribute
642 - Verilog front-end: define `BLACKBOX in -lib mode
643 - Added API for converting internal cells to AIGs
644 - Added ENABLE_LIBYOSYS Makefile option
645 - Removed "techmap -share_map" (use "-map +/filename" instead)
646 - Switched all Python scripts to Python 3
647 - Added support for $display()/$write() and $finish() to Verilog front-end
648 - Added "yosys-smtbmc" formal verification flow
649 - Added options for clang sanitizers to Makefile
650
651 * New commands and options
652 - Added "scc -expect <N> -nofeedback"
653 - Added "proc_dlatch"
654 - Added "check"
655 - Added "select %xe %cie %coe %M %C %R"
656 - Added "sat -dump_json" (WaveJSON format)
657 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
658 - Added "sat -stepsize" and "sat -tempinduct-step"
659 - Added "sat -show-regs -show-public -show-all"
660 - Added "write_json" (Native Yosys JSON format)
661 - Added "write_blif -attr"
662 - Added "dffinit"
663 - Added "chparam"
664 - Added "muxcover"
665 - Added "pmuxtree"
666 - Added memory_bram "make_outreg" feature
667 - Added "splice -wires"
668 - Added "dff2dffe -direct-match"
669 - Added simplemap $lut support
670 - Added "read_blif"
671 - Added "opt_share -share_all"
672 - Added "aigmap"
673 - Added "write_smt2 -mem -regs -wires"
674 - Added "memory -nordff"
675 - Added "write_smv"
676 - Added "synth -nordff -noalumacc"
677 - Added "rename -top new_name"
678 - Added "opt_const -clkinv"
679 - Added "synth -nofsm"
680 - Added "miter -assert"
681 - Added "read_verilog -noautowire"
682 - Added "read_verilog -nodpi"
683 - Added "tribuf"
684 - Added "lut2mux"
685 - Added "nlutmap"
686 - Added "qwp"
687 - Added "test_cell -noeval"
688 - Added "edgetypes"
689 - Added "equiv_struct"
690 - Added "equiv_purge"
691 - Added "equiv_mark"
692 - Added "equiv_add -try -cell"
693 - Added "singleton"
694 - Added "abc -g -luts"
695 - Added "torder"
696 - Added "write_blif -cname"
697 - Added "submod -copy"
698 - Added "dffsr2dff"
699 - Added "stat -liberty"
700
701 * Synthesis metacommands
702 - Various improvements in synth_xilinx
703 - Added synth_ice40 and synth_greenpak4
704 - Added "prep" metacommand for "synthesis lite"
705
706 * Cell library changes
707 - Added cell types to "help" system
708 - Added $meminit cell type
709 - Added $assume cell type
710 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
711 - Added $tribuf and $_TBUF_ cell types
712 - Added read-enable to memory model
713
714 * YosysJS
715 - Various improvements in emscripten build
716 - Added alternative webworker-based JS API
717 - Added a few example applications
718
719
720 Yosys 0.4 .. Yosys 0.5
721 ----------------------
722
723 * API changes
724 - Added log_warning()
725 - Added eval_select_args() and eval_select_op()
726 - Added cell->known(), cell->input(portname), cell->output(portname)
727 - Skip blackbox modules in design->selected_modules()
728 - Replaced std::map<> and std::set<> with dict<> and pool<>
729 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
730 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
731
732 * Cell library changes
733 - Added flip-flops with enable ($dffe etc.)
734 - Added $equiv cells for equivalence checking framework
735
736 * Various
737 - Updated ABC to hg rev 61ad5f908c03
738 - Added clock domain partitioning to ABC pass
739 - Improved plugin building (see "yosys-config --build")
740 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
741 - Added "yosys -d", "yosys -L" and other driver improvements
742 - Added support for multi-bit (array) cell ports to "write_edif"
743 - Now printing most output to stdout, not stderr
744 - Added "onehot" attribute (set by "fsm_map")
745 - Various performance improvements
746 - Vastly improved Xilinx flow
747 - Added "make unsintall"
748
749 * Equivalence checking
750 - Added equivalence checking commands:
751 equiv_make equiv_simple equiv_status
752 equiv_induct equiv_miter
753 equiv_add equiv_remove
754
755 * Block RAM support:
756 - Added "memory_bram" command
757 - Added BRAM support to Xilinx flow
758
759 * Other New Commands and Options
760 - Added "dff2dffe"
761 - Added "fsm -encfile"
762 - Added "dfflibmap -prepare"
763 - Added "write_blid -unbuf -undef -blackbox"
764 - Added "write_smt2" for writing SMT-LIBv2 files
765 - Added "test_cell -w -muxdiv"
766 - Added "select -read"
767
768
769 Yosys 0.3.0 .. Yosys 0.4
770 ------------------------
771
772 * Platform Support
773 - Added support for mxe-based cross-builds for win32
774 - Added sourcecode-export as VisualStudio project
775 - Added experimental EMCC (JavaScript) support
776
777 * Verilog Frontend
778 - Added -sv option for SystemVerilog (and automatic *.sv file support)
779 - Added support for real-valued constants and constant expressions
780 - Added support for non-standard "via_celltype" attribute on task/func
781 - Added support for non-standard "module mod_name(...);" syntax
782 - Added support for non-standard """ macro bodies
783 - Added support for array with more than one dimension
784 - Added support for $readmemh and $readmemb
785 - Added support for DPI functions
786
787 * Changes in internal cell library
788 - Added $shift and $shiftx cell types
789 - Added $alu, $lcu, $fa and $macc cell types
790 - Removed $bu0 and $safe_pmux cell types
791 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
792 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
793 - Renamed ports of $lut cells (from I->O to A->Y)
794 - Renamed $_INV_ to $_NOT_
795
796 * Changes for simple synthesis flows
797 - There is now a "synth" command with a recommended default script
798 - Many improvements in synthesis of arithmetic functions to gates
799 - Multipliers and adders with many operands are using carry-save adder trees
800 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
801 - Various new high-level optimizations on RTL netlist
802 - Various improvements in FSM optimization
803 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
804
805 * Changes in internal APIs and RTLIL
806 - Added log_id() and log_cell() helper functions
807 - Added function-like cell creation helpers
808 - Added GetSize() function (like .size() but with int)
809 - Major refactoring of RTLIL::Module and related classes
810 - Major refactoring of RTLIL::SigSpec and related classes
811 - Now RTLIL::IdString is essentially an int
812 - Added macros for code coverage counters
813 - Added some Makefile magic for pretty make logs
814 - Added "kernel/yosys.h" with all the core definitions
815 - Changed a lot of code from FILE* to c++ streams
816 - Added RTLIL::Monitor API and "trace" command
817 - Added "Yosys" C++ namespace
818
819 * Changes relevant to SAT solving
820 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
821 - Added native ezSAT support for vector shift ops
822 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
823
824 * New commands (or large improvements to commands)
825 - Added "synth" command with default script
826 - Added "share" (finally some real resource sharing)
827 - Added "memory_share" (reduce number of ports on memories)
828 - Added "wreduce" and "alumacc" commands
829 - Added "opt -keepdc -fine -full -fast"
830 - Added some "test_*" commands
831
832 * Various other changes
833 - Added %D and %c select operators
834 - Added support for labels in yosys scripts
835 - Added support for here-documents in yosys scripts
836 - Support "+/" prefix for files from proc_share_dir
837 - Added "autoidx" statement to ilang language
838 - Switched from "yosys-svgviewer" to "xdot"
839 - Renamed "stdcells.v" to "techmap.v"
840 - Various bug fixes and small improvements
841 - Improved welcome and bye messages
842
843
844 Yosys 0.2.0 .. Yosys 0.3.0
845 --------------------------
846
847 * Driver program and overall behavior:
848 - Added "design -push" and "design -pop"
849 - Added "tee" command for redirecting log output
850
851 * Changes in the internal cell library:
852 - Added $dlatchsr and $_DLATCHSR_???_ cell types
853
854 * Improvements in Verilog frontend:
855 - Improved support for const functions (case, always, repeat)
856 - The generate..endgenerate keywords are now optional
857 - Added support for arrays of module instances
858 - Added support for "`default_nettype" directive
859 - Added support for "`line" directive
860
861 * Other front- and back-ends:
862 - Various changes to "write_blif" options
863 - Various improvements in EDIF backend
864 - Added "vhdl2verilog" pseudo-front-end
865 - Added "verific" pseudo-front-end
866
867 * Improvements in technology mapping:
868 - Added support for recursive techmap
869 - Added CONSTMSK and CONSTVAL features to techmap
870 - Added _TECHMAP_CONNMAP_*_ feature to techmap
871 - Added _TECHMAP_REPLACE_ feature to techmap
872 - Added "connwrappers" command for wrap-extract-unwrap method
873 - Added "extract -map %<design_name>" feature
874 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
875 - Added "techmap -max_iter" option
876
877 * Improvements to "eval" and "sat" framework:
878 - Now include a copy of Minisat (with build fixes applied)
879 - Switched to Minisat::SimpSolver as SAT back-end
880 - Added "sat -dump_vcd" feature
881 - Added "sat -dump_cnf" feature
882 - Added "sat -initsteps <N>" feature
883 - Added "freduce -stop <N>" feature
884 - Added "freduce -dump <prefix>" feature
885
886 * Integration with ABC:
887 - Updated ABC rev to 7600ffb9340c
888
889 * Improvements in the internal APIs:
890 - Added RTLIL::Module::add... helper methods
891 - Various build fixes for OSX (Darwin) and OpenBSD
892
893
894 Yosys 0.1.0 .. Yosys 0.2.0
895 --------------------------
896
897 * Changes to the driver program:
898 - Added "yosys -h" and "yosys -H"
899 - Added support for backslash line continuation in scripts
900 - Added support for #-comments in same line as command
901 - Added "echo" and "log" commands
902
903 * Improvements in Verilog frontend:
904 - Added support for local registers in named blocks
905 - Added support for "case" in "generate" blocks
906 - Added support for $clog2 system function
907 - Added support for basic SystemVerilog assert statements
908 - Added preprocessor support for macro arguments
909 - Added preprocessor support for `elsif statement
910 - Added "verilog_defaults" command
911 - Added read_verilog -icells option
912 - Added support for constant sizes from parameters
913 - Added "read_verilog -setattr"
914 - Added support for function returning 'integer'
915 - Added limited support for function calls in parameter values
916 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
917
918 * Other front- and back-ends:
919 - Added BTOR backend
920 - Added Liberty frontend
921
922 * Improvements in technology mapping:
923 - The "dfflibmap" command now strongly prefers solutions with
924 no inverters in clock paths
925 - The "dfflibmap" command now prefers cells with smaller area
926 - Added support for multiple -map options to techmap
927 - Added "dfflibmap" support for //-comments in liberty files
928 - Added "memory_unpack" command to revert "memory_collect"
929 - Added standard techmap rule "techmap -share_map pmux2mux.v"
930 - Added "iopadmap -bits"
931 - Added "setundef" command
932 - Added "hilomap" command
933
934 * Changes in the internal cell library:
935 - Major rewrite of simlib.v for better compatibility with other tools
936 - Added PRIORITY parameter to $memwr cells
937 - Added TRANSPARENT parameter to $memrd cells
938 - Added RD_TRANSPARENT parameter to $mem cells
939 - Added $bu0 cell (always 0-extend, even undef MSB)
940 - Added $assert cell type
941 - Added $slice and $concat cell types
942
943 * Integration with ABC:
944 - Updated ABC to hg rev 2058c8ccea68
945 - Tighter integration of ABC build with Yosys build. The make
946 targets 'make abc' and 'make install-abc' are now obsolete.
947 - Added support for passing FFs from one clock domain through ABC
948 - Now always use BLIF as exchange format with ABC
949 - Added support for "abc -script +<command_sequence>"
950 - Improved standard ABC recipe
951 - Added support for "keep" attribute to abc command
952 - Added "abc -dff / -clk / -keepff" options
953
954 * Improvements to "eval" and "sat" framework:
955 - Added support for "0" and "~0" in right-hand side -set expressions
956 - Added "eval -set-undef" and "eval -table"
957 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
958 - Added undef support to SAT solver, incl. various new "sat" options
959 - Added correct support for === and !== for "eval" and "sat"
960 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
961 - Added "sat -prove-asserts"
962 - Complete rewrite of the 'freduce' command
963 - Added "miter" command
964 - Added "sat -show-inputs" and "sat -show-outputs"
965 - Added "sat -ignore_unknown_cells" (now produce an error by default)
966 - Added "sat -falsify"
967 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
968 - Added "expose" command
969 - Added support for @<sel_name> to sat and eval signal expressions
970
971 * Changes in the 'make test' framework and auxiliary test tools:
972 - Added autotest.sh -p and -f options
973 - Replaced autotest.sh ISIM support with XSIM support
974 - Added test cases for SAT framework
975
976 * Added "abbreviated IDs":
977 - Now $<something>$foo can be abbreviated as $foo.
978 - Usually this last part is a unique id (from RTLIL::autoidx)
979 - This abbreviated IDs are now also used in "show" output
980
981 * Other changes to selection framework:
982 - Now */ is optional in */<mode>:<arg> expressions
983 - Added "select -assert-none" and "select -assert-any"
984 - Added support for matching modules by attribute (A:<expr>)
985 - Added "select -none"
986 - Added support for r:<expr> pattern for matching cell parameters
987 - Added support for !=, <, <=, >=, > for attribute and parameter matching
988 - Added support for %s for selecting sub-modules
989 - Added support for %m for expanding selections to whole modules
990 - Added support for i:*, o:* and x:* pattern for selecting module ports
991 - Added support for s:<expr> pattern for matching wire width
992 - Added support for %a operation to select wire aliases
993
994 * Various other changes to commands and options:
995 - The "ls" command now supports wildcards
996 - Added "show -pause" and "show -format dot"
997 - Added "show -color" support for cells
998 - Added "show -label" and "show -notitle"
999 - Added "dump -m" and "dump -n"
1000 - Added "history" command
1001 - Added "rename -hide"
1002 - Added "connect" command
1003 - Added "splitnets -driver"
1004 - Added "opt_const -mux_undef"
1005 - Added "opt_const -mux_bool"
1006 - Added "opt_const -undriven"
1007 - Added "opt -mux_undef -mux_bool -undriven -purge"
1008 - Added "hierarchy -libdir"
1009 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
1010 - Added "delete" command
1011 - Added "dump -append"
1012 - Added "setattr" and "setparam" commands
1013 - Added "design -stash/-copy-from/-copy-to"
1014 - Added "copy" command
1015 - Added "splice" command
1016