Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / Makefile
1
2 CONFIG := clang
3 # CONFIG := gcc
4 # CONFIG := gcc-4.8
5 # CONFIG := afl-gcc
6 # CONFIG := emcc
7 # CONFIG := wasi
8 # CONFIG := mxe
9 # CONFIG := msys2-32
10 # CONFIG := msys2-64
11
12 # features (the more the better)
13 ENABLE_TCL := 1
14 ENABLE_ABC := 1
15 ENABLE_GLOB := 1
16 ENABLE_PLUGINS := 1
17 ENABLE_READLINE := 1
18 ENABLE_EDITLINE := 0
19 ENABLE_GHDL := 0
20 ENABLE_VERIFIC := 0
21 DISABLE_VERIFIC_EXTENSIONS := 0
22 DISABLE_VERIFIC_VHDL := 0
23 ENABLE_COVER := 1
24 ENABLE_LIBYOSYS := 0
25 ENABLE_PROTOBUF := 0
26 ENABLE_ZLIB := 1
27
28 # python wrappers
29 ENABLE_PYOSYS := 0
30
31 # other configuration flags
32 ENABLE_GCOV := 0
33 ENABLE_GPROF := 0
34 ENABLE_DEBUG := 0
35 ENABLE_NDEBUG := 0
36 ENABLE_CCACHE := 0
37 # sccache is not always a drop-in replacement for ccache in practice
38 ENABLE_SCCACHE := 0
39 LINK_CURSES := 0
40 LINK_TERMCAP := 0
41 LINK_ABC := 0
42 # Needed for environments that can't run executables (i.e. emscripten, wasm)
43 DISABLE_SPAWN := 0
44 # Needed for environments that don't have proper thread support (i.e. emscripten, wasm--for now)
45 DISABLE_ABC_THREADS := 0
46
47 # clang sanitizers
48 SANITIZER =
49 # SANITIZER = address
50 # SANITIZER = memory
51 # SANITIZER = undefined
52 # SANITIZER = cfi
53
54 PROGRAM_PREFIX :=
55
56 OS := $(shell uname -s)
57 PREFIX ?= /usr/local
58 INSTALL_SUDO :=
59
60 ifneq ($(wildcard Makefile.conf),)
61 include Makefile.conf
62 endif
63
64 ifeq ($(ENABLE_PYOSYS),1)
65 ENABLE_LIBYOSYS := 1
66 endif
67
68 BINDIR := $(PREFIX)/bin
69 LIBDIR := $(PREFIX)/lib/$(PROGRAM_PREFIX)yosys
70 DATDIR := $(PREFIX)/share/$(PROGRAM_PREFIX)yosys
71
72 EXE =
73 OBJS =
74 GENFILES =
75 EXTRA_OBJS =
76 EXTRA_TARGETS =
77 TARGETS = $(PROGRAM_PREFIX)yosys$(EXE) $(PROGRAM_PREFIX)yosys-config
78
79 PRETTY = 1
80 SMALL = 0
81
82 # Unit test
83 UNITESTPATH := tests/unit
84
85 all: top-all
86
87 YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
88 VPATH := $(YOSYS_SRC)
89
90 CXXSTD ?= c++11
91 CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include
92 LDLIBS := $(LDLIBS) -lstdc++ -lm
93 PLUGIN_LDFLAGS :=
94
95 PKG_CONFIG ?= pkg-config
96 SED ?= sed
97 BISON ?= bison
98 STRIP ?= strip
99 AWK ?= awk
100
101 ifeq ($(OS), Darwin)
102 PLUGIN_LDFLAGS += -undefined dynamic_lookup
103
104 # homebrew search paths
105 ifneq ($(shell :; command -v brew),)
106 BREW_PREFIX := $(shell brew --prefix)/opt
107 $(info $$BREW_PREFIX is [${BREW_PREFIX}])
108 ifeq ($(ENABLE_PYOSYS),1)
109 CXXFLAGS += -I$(BREW_PREFIX)/boost/include/boost
110 LDFLAGS += -L$(BREW_PREFIX)/boost/lib
111 endif
112 CXXFLAGS += -I$(BREW_PREFIX)/readline/include
113 LDFLAGS += -L$(BREW_PREFIX)/readline/lib
114 PKG_CONFIG_PATH := $(BREW_PREFIX)/libffi/lib/pkgconfig:$(PKG_CONFIG_PATH)
115 PKG_CONFIG_PATH := $(BREW_PREFIX)/tcl-tk/lib/pkgconfig:$(PKG_CONFIG_PATH)
116 export PATH := $(BREW_PREFIX)/bison/bin:$(BREW_PREFIX)/gettext/bin:$(BREW_PREFIX)/flex/bin:$(PATH)
117
118 # macports search paths
119 else ifneq ($(shell :; command -v port),)
120 PORT_PREFIX := $(patsubst %/bin/port,%,$(shell :; command -v port))
121 CXXFLAGS += -I$(PORT_PREFIX)/include
122 LDFLAGS += -L$(PORT_PREFIX)/lib
123 PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_CONFIG_PATH)
124 export PATH := $(PORT_PREFIX)/bin:$(PATH)
125 endif
126
127 else
128 LDFLAGS += -rdynamic
129 LDLIBS += -lrt
130 endif
131
132 YOSYS_VER := 0.17+9
133 GIT_REV := $(shell git -C $(YOSYS_SRC) rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
134 OBJS = kernel/version_$(GIT_REV).o
135
136 bumpversion:
137 sed -i "/^YOSYS_VER := / s/+[0-9][0-9]*$$/+`git log --oneline 6f9602b.. | wc -l`/;" Makefile
138
139 # set 'ABCREV = default' to use abc/ as it is
140 #
141 # Note: If you do ABC development, make sure that 'abc' in this directory
142 # is just a symlink to your actual ABC working directory, as 'make mrproper'
143 # will remove the 'abc' directory and you do not want to accidentally
144 # delete your work on ABC..
145 ABCREV = 09a7e6d
146 ABCPULL = 1
147 ABCURL ?= https://github.com/YosysHQ/abc
148 ABCMKARGS = CC="$(CXX)" CXX="$(CXX)" ABC_USE_LIBSTDCXX=1 VERBOSE=$(Q)
149
150 # set ABCEXTERNAL = <abc-command> to use an external ABC instance
151 # Note: The in-tree ABC (yosys-abc) will not be installed when ABCEXTERNAL is set.
152 ABCEXTERNAL ?=
153
154 define newline
155
156
157 endef
158
159 ifneq ($(wildcard Makefile.conf),)
160 # don't echo Makefile.conf contents when invoked to print source versions
161 ifeq ($(findstring echo-,$(MAKECMDGOALS)),)
162 $(info $(subst $$--$$,$(newline),$(shell sed 's,^,[Makefile.conf] ,; s,$$,$$--$$,;' < Makefile.conf | tr -d '\n' | sed 's,\$$--\$$$$,,')))
163 endif
164 include Makefile.conf
165 endif
166
167 PYTHON_EXECUTABLE := $(shell if python3 -c ""; then echo "python3"; else echo "python"; fi)
168 ifeq ($(ENABLE_PYOSYS),1)
169 PYTHON_VERSION_TESTCODE := "import sys;t='{v[0]}.{v[1]}'.format(v=list(sys.version_info[:2]));print(t)"
170 PYTHON_VERSION := $(shell $(PYTHON_EXECUTABLE) -c ""$(PYTHON_VERSION_TESTCODE)"")
171 PYTHON_MAJOR_VERSION := $(shell echo $(PYTHON_VERSION) | cut -f1 -d.)
172
173 ENABLE_PYTHON_CONFIG_EMBED ?= $(shell $(PYTHON_EXECUTABLE)-config --embed --libs > /dev/null && echo 1)
174 ifeq ($(ENABLE_PYTHON_CONFIG_EMBED),1)
175 PYTHON_CONFIG := $(PYTHON_EXECUTABLE)-config --embed
176 else
177 PYTHON_CONFIG := $(PYTHON_EXECUTABLE)-config
178 endif
179
180 PYTHON_DESTDIR := $(shell $(PYTHON_EXECUTABLE) -c "import site; print(site.getsitepackages()[-1]);")
181
182 # Reload Makefile.conf to override python specific variables if defined
183 ifneq ($(wildcard Makefile.conf),)
184 include Makefile.conf
185 endif
186
187 endif
188
189 ifeq ($(CONFIG),clang)
190 CXX = clang
191 LD = clang++
192 CXXFLAGS += -std=$(CXXSTD) -Os
193 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
194
195 ifneq ($(SANITIZER),)
196 $(info [Clang Sanitizer] $(SANITIZER))
197 CXXFLAGS += -g -O1 -fno-omit-frame-pointer -fno-optimize-sibling-calls -fsanitize=$(SANITIZER)
198 LDFLAGS += -g -fsanitize=$(SANITIZER)
199 ifneq ($(findstring address,$(SANITIZER)),)
200 ENABLE_COVER := 0
201 endif
202 ifneq ($(findstring memory,$(SANITIZER)),)
203 CXXFLAGS += -fPIE -fsanitize-memory-track-origins
204 LDFLAGS += -fPIE -fsanitize-memory-track-origins
205 endif
206 ifneq ($(findstring cfi,$(SANITIZER)),)
207 CXXFLAGS += -flto
208 LDFLAGS += -flto
209 endif
210 endif
211
212 else ifeq ($(CONFIG),gcc)
213 CXX = gcc
214 LD = gcc
215 CXXFLAGS += -std=$(CXXSTD) -Os
216 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
217
218 else ifeq ($(CONFIG),gcc-static)
219 LD = $(CXX)
220 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -static
221 LDLIBS := $(filter-out -lrt,$(LDLIBS))
222 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
223 CXXFLAGS += -std=$(CXXSTD) -Os
224 ABCMKARGS = CC="$(CC)" CXX="$(CXX)" LD="$(LD)" ABC_USE_LIBSTDCXX=1 LIBS="-lm -lpthread -static" OPTFLAGS="-O" \
225 ARCHFLAGS="-DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING=1 -Wno-unused-but-set-variable $(ARCHFLAGS)" ABC_USE_NO_READLINE=1
226 ifeq ($(DISABLE_ABC_THREADS),1)
227 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
228 endif
229
230 else ifeq ($(CONFIG),gcc-4.8)
231 CXX = gcc-4.8
232 LD = gcc-4.8
233 CXXFLAGS += -std=$(CXXSTD) -Os
234 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
235
236 else ifeq ($(CONFIG),afl-gcc)
237 CXX = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
238 LD = AFL_QUIET=1 AFL_HARDEN=1 afl-gcc
239 CXXFLAGS += -std=$(CXXSTD) -Os
240 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
241
242 else ifeq ($(CONFIG),cygwin)
243 CXX = gcc
244 LD = gcc
245 CXXFLAGS += -std=gnu++11 -Os
246 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H"
247
248 else ifeq ($(CONFIG),emcc)
249 CXX = emcc
250 LD = emcc
251 CXXFLAGS := -std=$(CXXSTD) $(filter-out -fPIC -ggdb,$(CXXFLAGS))
252 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
253 EMCCFLAGS := -Os -Wno-warn-absolute-paths
254 EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
255 EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']"
256 EMCCFLAGS += -s TOTAL_MEMORY=134217728
257 EMCCFLAGS += -s EXTRA_EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]'
258 # https://github.com/kripken/emscripten/blob/master/src/settings.js
259 CXXFLAGS += $(EMCCFLAGS)
260 LDFLAGS += $(EMCCFLAGS)
261 LDLIBS =
262 EXE = .js
263
264 DISABLE_SPAWN := 1
265
266 TARGETS := $(filter-out $(PROGRAM_PREFIX)yosys-config,$(TARGETS))
267 EXTRA_TARGETS += yosysjs-$(YOSYS_VER).zip
268
269 ifeq ($(ENABLE_ABC),1)
270 LINK_ABC := 1
271 DISABLE_ABC_THREADS := 1
272 endif
273
274 viz.js:
275 wget -O viz.js.part https://github.com/mdaines/viz.js/releases/download/0.0.3/viz.js
276 mv viz.js.part viz.js
277
278 yosysjs-$(YOSYS_VER).zip: yosys.js yosys.wasm viz.js misc/yosysjs/*
279 rm -rf yosysjs-$(YOSYS_VER) yosysjs-$(YOSYS_VER).zip
280 mkdir -p yosysjs-$(YOSYS_VER)
281 cp viz.js misc/yosysjs/* yosys.js yosys.wasm yosysjs-$(YOSYS_VER)/
282 zip -r yosysjs-$(YOSYS_VER).zip yosysjs-$(YOSYS_VER)
283
284 yosys.html: misc/yosys.html
285 $(P) cp misc/yosys.html yosys.html
286
287 else ifeq ($(CONFIG),wasi)
288 ifeq ($(WASI_SDK),)
289 CXX = clang
290 LD = clang++
291 AR = llvm-ar
292 RANLIB = llvm-ranlib
293 WASIFLAGS := -target wasm32-wasi --sysroot $(WASI_SYSROOT) $(WASIFLAGS)
294 else
295 CXX = $(WASI_SDK)/bin/clang
296 LD = $(WASI_SDK)/bin/clang++
297 AR = $(WASI_SDK)/bin/ar
298 RANLIB = $(WASI_SDK)/bin/ranlib
299 WASIFLAGS := --sysroot $(WASI_SDK)/share/wasi-sysroot $(WASIFLAGS)
300 endif
301 CXXFLAGS := $(WASIFLAGS) -std=$(CXXSTD) -Os $(filter-out -fPIC,$(CXXFLAGS))
302 LDFLAGS := $(WASIFLAGS) -Wl,-z,stack-size=1048576 $(filter-out -rdynamic,$(LDFLAGS))
303 LDLIBS := $(filter-out -lrt,$(LDLIBS))
304 ABCMKARGS += AR="$(AR)" RANLIB="$(RANLIB)"
305 ABCMKARGS += ARCHFLAGS="$(WASIFLAGS) -DABC_USE_STDINT_H -DABC_NO_DYNAMIC_LINKING"
306 ABCMKARGS += OPTFLAGS="-Os"
307 EXE = .wasm
308
309 DISABLE_SPAWN := 1
310
311 ifeq ($(ENABLE_ABC),1)
312 LINK_ABC := 1
313 DISABLE_ABC_THREADS := 1
314 endif
315
316 else ifeq ($(CONFIG),mxe)
317 PKG_CONFIG = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-pkg-config
318 CXX = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
319 LD = /usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-g++
320 CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_MXE_HACKS -Wno-attributes
321 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
322 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
323 LDLIBS := $(filter-out -lrt,$(LDLIBS))
324 ABCMKARGS += ARCHFLAGS="-DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
325 # TODO: Try to solve pthread linking issue in more appropriate way
326 ABCMKARGS += LIBS="lib/x86/pthreadVC2.lib -s" LDFLAGS="-Wl,--allow-multiple-definition" ABC_USE_NO_READLINE=1 CC="/usr/local/src/mxe/usr/bin/i686-w64-mingw32.static-gcc"
327 EXE = .exe
328
329 else ifeq ($(CONFIG),msys2-32)
330 CXX = i686-w64-mingw32-g++
331 LD = i686-w64-mingw32-g++
332 CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
333 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
334 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
335 LDLIBS := $(filter-out -lrt,$(LDLIBS))
336 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
337 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="i686-w64-mingw32-gcc" CXX="$(CXX)"
338 EXE = .exe
339
340 else ifeq ($(CONFIG),msys2-64)
341 CXX = x86_64-w64-mingw32-g++
342 LD = x86_64-w64-mingw32-g++
343 CXXFLAGS += -std=$(CXXSTD) -Os -D_POSIX_SOURCE -DYOSYS_WIN32_UNIX_DIR
344 CXXFLAGS := $(filter-out -fPIC,$(CXXFLAGS))
345 LDFLAGS := $(filter-out -rdynamic,$(LDFLAGS)) -s
346 LDLIBS := $(filter-out -lrt,$(LDLIBS))
347 ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DWIN32_NO_DLL -DHAVE_STRUCT_TIMESPEC -fpermissive -w"
348 ABCMKARGS += LIBS="-lpthread -s" ABC_USE_NO_READLINE=0 CC="x86_64-w64-mingw32-gcc" CXX="$(CXX)"
349 EXE = .exe
350
351 else ifneq ($(CONFIG),none)
352 $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2-32, msys2-64)
353 endif
354
355 ifeq ($(ENABLE_LIBYOSYS),1)
356 TARGETS += libyosys.so
357 endif
358
359 ifeq ($(ENABLE_PYOSYS),1)
360 # Detect name of boost_python library. Some distros use boost_python-py<version>, other boost_python<version>, some only use the major version number, some a concatenation of major and minor version numbers
361 CHECK_BOOST_PYTHON = (echo "int main(int argc, char ** argv) {return 0;}" | $(CXX) -xc -o /dev/null $(shell $(PYTHON_CONFIG) --ldflags) -l$(1) - > /dev/null 2>&1 && echo "-l$(1)")
362 BOOST_PYTHON_LIB ?= $(shell \
363 $(call CHECK_BOOST_PYTHON,boost_python-py$(subst .,,$(PYTHON_VERSION))) || \
364 $(call CHECK_BOOST_PYTHON,boost_python-py$(PYTHON_MAJOR_VERSION)) || \
365 $(call CHECK_BOOST_PYTHON,boost_python$(subst .,,$(PYTHON_VERSION))) || \
366 $(call CHECK_BOOST_PYTHON,boost_python$(PYTHON_MAJOR_VERSION)) \
367 )
368
369 ifeq ($(BOOST_PYTHON_LIB),)
370 $(error BOOST_PYTHON_LIB could not be detected. Please define manually)
371 endif
372
373 LDLIBS += $(shell $(PYTHON_CONFIG) --libs) $(BOOST_PYTHON_LIB) -lboost_system -lboost_filesystem
374 # python-config --ldflags includes LDLIBS for some reason
375 LDFLAGS += $(filter-out -l%,$(shell $(PYTHON_CONFIG) --ldflags))
376 CXXFLAGS += $(shell $(PYTHON_CONFIG) --includes) -DWITH_PYTHON
377
378 PY_WRAPPER_FILE = kernel/python_wrappers
379 OBJS += $(PY_WRAPPER_FILE).o
380 PY_GEN_SCRIPT= py_wrap_generator
381 PY_WRAP_INCLUDES := $(shell python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).print_includes()")
382 endif # ENABLE_PYOSYS
383
384 ifeq ($(ENABLE_READLINE),1)
385 CXXFLAGS += -DYOSYS_ENABLE_READLINE
386 ifeq ($(OS), FreeBSD)
387 CXXFLAGS += -I/usr/local/include
388 endif
389 LDLIBS += -lreadline
390 ifeq ($(LINK_CURSES),1)
391 LDLIBS += -lcurses
392 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lcurses -lreadline"
393 endif
394 ifeq ($(LINK_TERMCAP),1)
395 LDLIBS += -ltermcap
396 ABCMKARGS += "ABC_READLINE_LIBRARIES=-lreadline -ltermcap"
397 endif
398 ifeq ($(CONFIG),mxe)
399 LDLIBS += -ltermcap
400 endif
401 else
402 ifeq ($(ENABLE_EDITLINE),1)
403 CXXFLAGS += -DYOSYS_ENABLE_EDITLINE
404 LDLIBS += -ledit -ltinfo -lbsd
405 else
406 ABCMKARGS += "ABC_USE_NO_READLINE=1"
407 endif
408 endif
409
410 ifeq ($(DISABLE_ABC_THREADS),1)
411 ABCMKARGS += "ABC_USE_NO_PTHREADS=1"
412 endif
413
414 ifeq ($(DISABLE_SPAWN),1)
415 CXXFLAGS += -DYOSYS_DISABLE_SPAWN
416 endif
417
418 ifeq ($(ENABLE_PLUGINS),1)
419 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags libffi) -DYOSYS_ENABLE_PLUGINS
420 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs libffi || echo -lffi)
421 ifneq ($(OS), FreeBSD)
422 LDLIBS += -ldl
423 endif
424 endif
425
426 ifeq ($(ENABLE_GLOB),1)
427 CXXFLAGS += -DYOSYS_ENABLE_GLOB
428 endif
429
430 ifeq ($(ENABLE_ZLIB),1)
431 CXXFLAGS += -DYOSYS_ENABLE_ZLIB
432 LDLIBS += -lz
433 endif
434
435
436 ifeq ($(ENABLE_TCL),1)
437 TCL_VERSION ?= tcl$(shell bash -c "tclsh <(echo 'puts [info tclversion]')")
438 ifeq ($(OS), FreeBSD)
439 TCL_INCLUDE ?= /usr/local/include/$(TCL_VERSION)
440 else
441 TCL_INCLUDE ?= /usr/include/$(TCL_VERSION)
442 endif
443
444 ifeq ($(CONFIG),mxe)
445 CXXFLAGS += -DYOSYS_ENABLE_TCL
446 LDLIBS += -ltcl86 -lwsock32 -lws2_32 -lnetapi32 -lz -luserenv
447 else
448 CXXFLAGS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --cflags tcl || echo -I$(TCL_INCLUDE)) -DYOSYS_ENABLE_TCL
449 ifeq ($(OS), FreeBSD)
450 # FreeBSD uses tcl8.6, but lib is named "libtcl86"
451 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION) | tr -d '.')
452 else
453 LDLIBS += $(shell PKG_CONFIG_PATH=$(PKG_CONFIG_PATH) $(PKG_CONFIG) --silence-errors --libs tcl || echo -l$(TCL_VERSION))
454 endif
455 endif
456 endif
457
458 ifeq ($(ENABLE_GCOV),1)
459 CXXFLAGS += --coverage
460 LDFLAGS += --coverage
461 endif
462
463 ifeq ($(ENABLE_GPROF),1)
464 CXXFLAGS += -pg
465 LDFLAGS += -pg
466 endif
467
468 ifeq ($(ENABLE_NDEBUG),1)
469 CXXFLAGS := -O3 -DNDEBUG $(filter-out -Os -ggdb,$(CXXFLAGS))
470 endif
471
472 ifeq ($(ENABLE_DEBUG),1)
473 ifeq ($(CONFIG),clang)
474 CXXFLAGS := -O0 -DDEBUG $(filter-out -Os,$(CXXFLAGS))
475 else
476 CXXFLAGS := -Og -DDEBUG $(filter-out -Os,$(CXXFLAGS))
477 endif
478 endif
479
480 ifeq ($(ENABLE_ABC),1)
481 CXXFLAGS += -DYOSYS_ENABLE_ABC
482 ifeq ($(LINK_ABC),1)
483 CXXFLAGS += -DYOSYS_LINK_ABC
484 ifeq ($(DISABLE_ABC_THREADS),0)
485 LDLIBS += -lpthread
486 endif
487 else
488 ifeq ($(ABCEXTERNAL),)
489 TARGETS += $(PROGRAM_PREFIX)yosys-abc$(EXE)
490 endif
491 endif
492 endif
493
494 ifeq ($(ENABLE_GHDL),1)
495 GHDL_PREFIX ?= $(PREFIX)
496 GHDL_INCLUDE_DIR ?= $(GHDL_PREFIX)/include
497 GHDL_LIB_DIR ?= $(GHDL_PREFIX)/lib
498 CXXFLAGS += -I$(GHDL_INCLUDE_DIR) -DYOSYS_ENABLE_GHDL
499 LDLIBS += $(GHDL_LIB_DIR)/libghdl.a $(file <$(GHDL_LIB_DIR)/libghdl.link)
500 endif
501
502 ifeq ($(ENABLE_VERIFIC),1)
503 VERIFIC_DIR ?= /usr/local/src/verific_lib
504 VERIFIC_COMPONENTS ?= verilog database util containers hier_tree
505 ifneq ($(DISABLE_VERIFIC_VHDL),1)
506 VERIFIC_COMPONENTS += vhdl
507 CXXFLAGS += -DVERIFIC_VHDL_SUPPORT
508 else
509 ifneq ($(wildcard $(VERIFIC_DIR)/vhdl),)
510 VERIFIC_COMPONENTS += vhdl
511 endif
512 endif
513 ifneq ($(DISABLE_VERIFIC_EXTENSIONS),1)
514 VERIFIC_COMPONENTS += extensions
515 CXXFLAGS += -DYOSYSHQ_VERIFIC_EXTENSIONS
516 endif
517 CXXFLAGS += $(patsubst %,-I$(VERIFIC_DIR)/%,$(VERIFIC_COMPONENTS)) -DYOSYS_ENABLE_VERIFIC
518 ifeq ($(OS), Darwin)
519 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-mac.a,$(VERIFIC_COMPONENTS)) -lz
520 else
521 LDLIBS += $(patsubst %,$(VERIFIC_DIR)/%/*-linux.a,$(VERIFIC_COMPONENTS)) -lz
522 endif
523 endif
524
525 ifeq ($(ENABLE_PROTOBUF),1)
526 LDLIBS += $(shell pkg-config --cflags --libs protobuf)
527 endif
528
529 ifeq ($(ENABLE_COVER),1)
530 CXXFLAGS += -DYOSYS_ENABLE_COVER
531 endif
532
533 ifeq ($(ENABLE_CCACHE),1)
534 CXX := ccache $(CXX)
535 else
536 ifeq ($(ENABLE_SCCACHE),1)
537 CXX := sccache $(CXX)
538 endif
539 endif
540
541 define add_share_file
542 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
543 $(subst //,/,$(1)/$(notdir $(2))): $(2)
544 $$(P) mkdir -p $(1)
545 $$(Q) cp "$(YOSYS_SRC)"/$(2) $(subst //,/,$(1)/$(notdir $(2)))
546 endef
547
548 define add_gen_share_file
549 EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
550 $(subst //,/,$(1)/$(notdir $(2))): $(2)
551 $$(P) mkdir -p $(1)
552 $$(Q) cp $(2) $(subst //,/,$(1)/$(notdir $(2)))
553 endef
554
555 define add_include_file
556 $(eval $(call add_share_file,$(dir share/include/$(1)),$(1)))
557 endef
558
559 define add_extra_objs
560 EXTRA_OBJS += $(1)
561 .SECONDARY: $(1)
562 endef
563
564 ifeq ($(PRETTY), 1)
565 P_STATUS = 0
566 P_OFFSET = 0
567 P_UPDATE = $(eval P_STATUS=$(shell echo $(OBJS) $(PROGRAM_PREFIX)yosys$(EXE) | $(AWK) 'BEGIN { RS = " "; I = $(P_STATUS)+0; } $$1 == "$@" && NR > I { I = NR; } END { print I; }'))
568 P_SHOW = [$(shell $(AWK) "BEGIN { N=$(words $(OBJS) $(PROGRAM_PREFIX)yosys$(EXE)); printf \"%3d\", $(P_OFFSET)+90*$(P_STATUS)/N; exit; }")%]
569 P = @echo "$(if $(findstring $@,$(TARGETS) $(EXTRA_TARGETS)),$(eval P_OFFSET = 10))$(call P_UPDATE)$(call P_SHOW) Building $@";
570 Q = @
571 S = -s
572 else
573 P_SHOW = ->
574 P =
575 Q =
576 S =
577 endif
578
579 $(eval $(call add_include_file,kernel/yosys.h))
580 $(eval $(call add_include_file,kernel/hashlib.h))
581 $(eval $(call add_include_file,kernel/log.h))
582 $(eval $(call add_include_file,kernel/rtlil.h))
583 $(eval $(call add_include_file,kernel/binding.h))
584 $(eval $(call add_include_file,kernel/register.h))
585 $(eval $(call add_include_file,kernel/celltypes.h))
586 $(eval $(call add_include_file,kernel/celledges.h))
587 $(eval $(call add_include_file,kernel/consteval.h))
588 $(eval $(call add_include_file,kernel/constids.inc))
589 $(eval $(call add_include_file,kernel/sigtools.h))
590 $(eval $(call add_include_file,kernel/modtools.h))
591 $(eval $(call add_include_file,kernel/macc.h))
592 $(eval $(call add_include_file,kernel/utils.h))
593 $(eval $(call add_include_file,kernel/satgen.h))
594 $(eval $(call add_include_file,kernel/qcsat.h))
595 $(eval $(call add_include_file,kernel/ff.h))
596 $(eval $(call add_include_file,kernel/ffinit.h))
597 ifeq ($(ENABLE_ZLIB),1)
598 $(eval $(call add_include_file,kernel/fstdata.h))
599 endif
600 $(eval $(call add_include_file,kernel/mem.h))
601 $(eval $(call add_include_file,libs/ezsat/ezsat.h))
602 $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
603 ifeq ($(ENABLE_ZLIB),1)
604 $(eval $(call add_include_file,libs/fst/fstapi.h))
605 endif
606 $(eval $(call add_include_file,libs/sha1/sha1.h))
607 $(eval $(call add_include_file,libs/json11/json11.hpp))
608 $(eval $(call add_include_file,passes/fsm/fsmdata.h))
609 $(eval $(call add_include_file,frontends/ast/ast.h))
610 $(eval $(call add_include_file,frontends/ast/ast_binding.h))
611 $(eval $(call add_include_file,frontends/blif/blifparse.h))
612 $(eval $(call add_include_file,backends/rtlil/rtlil_backend.h))
613 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl.h))
614 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd.h))
615 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.cc))
616 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.h))
617 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.cc))
618 $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.h))
619
620 OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
621 OBJS += kernel/binding.o
622 ifeq ($(ENABLE_ABC),1)
623 ifneq ($(ABCEXTERNAL),)
624 kernel/yosys.o: CXXFLAGS += -DABCEXTERNAL='"$(ABCEXTERNAL)"'
625 endif
626 endif
627 OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o
628 ifeq ($(ENABLE_ZLIB),1)
629 OBJS += kernel/fstdata.o
630 endif
631
632 kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
633 kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"' -DYOSYS_PROGRAM_PREFIX='"$(PROGRAM_PREFIX)"'
634
635 OBJS += libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o
636 OBJS += libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o
637
638 OBJS += libs/sha1/sha1.o
639
640 ifneq ($(SMALL),1)
641
642 OBJS += libs/json11/json11.o
643
644 OBJS += libs/subcircuit/subcircuit.o
645
646 OBJS += libs/ezsat/ezsat.o
647 OBJS += libs/ezsat/ezminisat.o
648
649 OBJS += libs/minisat/Options.o
650 OBJS += libs/minisat/SimpSolver.o
651 OBJS += libs/minisat/Solver.o
652 OBJS += libs/minisat/System.o
653
654 ifeq ($(ENABLE_ZLIB),1)
655 OBJS += libs/fst/fstapi.o
656 OBJS += libs/fst/fastlz.o
657 OBJS += libs/fst/lz4.o
658 endif
659
660 include $(YOSYS_SRC)/frontends/*/Makefile.inc
661 include $(YOSYS_SRC)/passes/*/Makefile.inc
662 include $(YOSYS_SRC)/backends/*/Makefile.inc
663 include $(YOSYS_SRC)/techlibs/*/Makefile.inc
664
665 else
666
667 include $(YOSYS_SRC)/frontends/verilog/Makefile.inc
668 include $(YOSYS_SRC)/frontends/rtlil/Makefile.inc
669 include $(YOSYS_SRC)/frontends/ast/Makefile.inc
670 include $(YOSYS_SRC)/frontends/blif/Makefile.inc
671
672 OBJS += passes/hierarchy/hierarchy.o
673 OBJS += passes/cmds/select.o
674 OBJS += passes/cmds/show.o
675 OBJS += passes/cmds/stat.o
676 OBJS += passes/cmds/cover.o
677 OBJS += passes/cmds/design.o
678 OBJS += passes/cmds/plugin.o
679
680 include $(YOSYS_SRC)/passes/proc/Makefile.inc
681 include $(YOSYS_SRC)/passes/opt/Makefile.inc
682 include $(YOSYS_SRC)/passes/techmap/Makefile.inc
683
684 include $(YOSYS_SRC)/backends/verilog/Makefile.inc
685 include $(YOSYS_SRC)/backends/rtlil/Makefile.inc
686
687 include $(YOSYS_SRC)/techlibs/common/Makefile.inc
688
689 endif
690
691 ifeq ($(LINK_ABC),1)
692 OBJS += $(PROGRAM_PREFIX)yosys-libabc.a
693 endif
694
695 # prevent the CXXFLAGS set by this Makefile from reaching abc/Makefile,
696 # especially the -MD flag which will break the build when CXX is clang
697 unexport CXXFLAGS
698
699 top-all: $(TARGETS) $(EXTRA_TARGETS)
700 @echo ""
701 @echo " Build successful."
702 @echo ""
703
704 ifeq ($(CONFIG),emcc)
705 yosys.js: $(filter-out yosysjs-$(YOSYS_VER).zip,$(EXTRA_TARGETS))
706 endif
707
708 $(PROGRAM_PREFIX)yosys$(EXE): $(OBJS)
709 $(P) $(LD) -o $(PROGRAM_PREFIX)yosys$(EXE) $(LDFLAGS) $(OBJS) $(LDLIBS)
710
711 libyosys.so: $(filter-out kernel/driver.o,$(OBJS))
712 ifeq ($(OS), Darwin)
713 $(P) $(LD) -o libyosys.so -shared -Wl,-install_name,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS)
714 else
715 $(P) $(LD) -o libyosys.so -shared -Wl,-soname,$(LIBDIR)/libyosys.so $(LDFLAGS) $^ $(LDLIBS)
716 endif
717
718 %.o: %.cc
719 $(Q) mkdir -p $(dir $@)
720 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
721
722 %.pyh: %.h
723 $(Q) mkdir -p $(dir $@)
724 $(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) $(CXXFLAGS) -x c++ -o $@ -E -P -
725
726 ifeq ($(ENABLE_PYOSYS),1)
727 $(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
728 $(Q) mkdir -p $(dir $@)
729 $(P) python$(PYTHON_VERSION) -c "from misc import $(PY_GEN_SCRIPT); $(PY_GEN_SCRIPT).gen_wrappers(\"$(PY_WRAPPER_FILE).cc\")"
730 endif
731
732 %.o: %.cpp
733 $(Q) mkdir -p $(dir $@)
734 $(P) $(CXX) -o $@ -c $(CPPFLAGS) $(CXXFLAGS) $<
735
736 YOSYS_VER_STR := Yosys $(YOSYS_VER) (git sha1 $(GIT_REV), $(notdir $(CXX)) $(shell \
737 $(CXX) --version | tr ' ()' '\n' | grep '^[0-9]' | head -n1) $(filter -f% -m% -O% -DNDEBUG,$(CXXFLAGS)))
738
739 kernel/version_$(GIT_REV).cc: $(YOSYS_SRC)/Makefile
740 $(P) rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
741 $(Q) mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"$(YOSYS_VER_STR)\"; }" > kernel/version_$(GIT_REV).cc
742
743 ifeq ($(ENABLE_VERIFIC),1)
744 CXXFLAGS_NOVERIFIC = $(foreach v,$(CXXFLAGS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
745 LDLIBS_NOVERIFIC = $(foreach v,$(LDLIBS),$(if $(findstring $(VERIFIC_DIR),$(v)),,$(v)))
746 else
747 CXXFLAGS_NOVERIFIC = $(CXXFLAGS)
748 LDLIBS_NOVERIFIC = $(LDLIBS)
749 endif
750
751 $(PROGRAM_PREFIX)yosys-config: misc/yosys-config.in
752 $(P) $(SED) -e 's#@CXXFLAGS@#$(subst -I. -I"$(YOSYS_SRC)",-I"$(DATDIR)/include",$(strip $(CXXFLAGS_NOVERIFIC)))#;' \
753 -e 's#@CXX@#$(strip $(CXX))#;' -e 's#@LDFLAGS@#$(strip $(LDFLAGS) $(PLUGIN_LDFLAGS))#;' -e 's#@LDLIBS@#$(strip $(LDLIBS_NOVERIFIC))#;' \
754 -e 's#@BINDIR@#$(strip $(BINDIR))#;' -e 's#@DATDIR@#$(strip $(DATDIR))#;' < $< > $(PROGRAM_PREFIX)yosys-config
755 $(Q) chmod +x $(PROGRAM_PREFIX)yosys-config
756
757 abc/abc-$(ABCREV)$(EXE) abc/libabc-$(ABCREV).a:
758 $(P)
759 ifneq ($(ABCREV),default)
760 $(Q) if test -d abc/.hg; then \
761 echo 'REEBE: NOP qverpgbel vf n ut jbexvat pbcl! Erzbir nop/ naq er-eha "znxr".' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
762 fi
763 $(Q) if test -d abc && ! git -C abc diff-index --quiet HEAD; then \
764 echo 'REEBE: NOP pbagnvaf ybpny zbqvsvpngvbaf! Frg NOPERI=qrsnhyg va Lbflf Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; false; \
765 fi
766 # set a variable so the test fails if git fails to run - when comparing outputs directly, empty string would match empty string
767 $(Q) if ! (cd abc 2> /dev/null && rev="`git rev-parse $(ABCREV)`" && test "`git rev-parse HEAD`" == "$$rev"); then \
768 test $(ABCPULL) -ne 0 || { echo 'REEBE: NOP abg hc gb qngr naq NOPCHYY frg gb 0 va Znxrsvyr!' | tr 'A-Za-z' 'N-ZA-Mn-za-m'; exit 1; }; \
769 echo "Pulling ABC from $(ABCURL):"; set -x; \
770 test -d abc || git clone $(ABCURL) abc; \
771 cd abc && $(MAKE) DEP= clean && git fetch $(ABCURL) && git checkout $(ABCREV); \
772 fi
773 endif
774 $(Q) rm -f abc/abc-[0-9a-f]*
775 $(Q) $(MAKE) -C abc $(S) $(ABCMKARGS) $(if $(filter %.a,$@),PROG="abc-$(ABCREV)",PROG="abc-$(ABCREV)$(EXE)") MSG_PREFIX="$(eval P_OFFSET = 5)$(call P_SHOW)$(eval P_OFFSET = 10) ABC: " $(if $(filter %.a,$@),libabc-$(ABCREV).a)
776
777 ifeq ($(ABCREV),default)
778 .PHONY: abc/abc-$(ABCREV)$(EXE)
779 .PHONY: abc/libabc-$(ABCREV).a
780 endif
781
782 $(PROGRAM_PREFIX)yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
783 $(P) cp abc/abc-$(ABCREV)$(EXE) $(PROGRAM_PREFIX)yosys-abc$(EXE)
784
785 $(PROGRAM_PREFIX)yosys-libabc.a: abc/libabc-$(ABCREV).a
786 $(P) cp abc/libabc-$(ABCREV).a $(PROGRAM_PREFIX)yosys-libabc.a
787
788 ifneq ($(SEED),)
789 SEEDOPT="-S $(SEED)"
790 else
791 SEEDOPT=""
792 endif
793
794 ifneq ($(ABCEXTERNAL),)
795 ABCOPT="-A $(ABCEXTERNAL)"
796 else
797 ABCOPT=""
798 endif
799
800 test: $(TARGETS) $(EXTRA_TARGETS)
801 +cd tests/simple && bash run-test.sh $(SEEDOPT)
802 +cd tests/simple_abc9 && bash run-test.sh $(SEEDOPT)
803 +cd tests/hana && bash run-test.sh $(SEEDOPT)
804 +cd tests/asicworld && bash run-test.sh $(SEEDOPT)
805 # +cd tests/realmath && bash run-test.sh $(SEEDOPT)
806 +cd tests/share && bash run-test.sh $(SEEDOPT)
807 +cd tests/opt_share && bash run-test.sh $(SEEDOPT)
808 +cd tests/fsm && bash run-test.sh $(SEEDOPT)
809 +cd tests/techmap && bash run-test.sh
810 +cd tests/memories && bash run-test.sh $(ABCOPT) $(SEEDOPT)
811 +cd tests/bram && bash run-test.sh $(SEEDOPT)
812 +cd tests/various && bash run-test.sh
813 +cd tests/select && bash run-test.sh
814 +cd tests/sat && bash run-test.sh
815 +cd tests/sim && bash run-test.sh
816 +cd tests/svinterfaces && bash run-test.sh $(SEEDOPT)
817 +cd tests/svtypes && bash run-test.sh $(SEEDOPT)
818 +cd tests/proc && bash run-test.sh
819 +cd tests/blif && bash run-test.sh
820 +cd tests/opt && bash run-test.sh
821 +cd tests/aiger && bash run-test.sh $(ABCOPT)
822 +cd tests/arch && bash run-test.sh
823 +cd tests/arch/ice40 && bash run-test.sh $(SEEDOPT)
824 +cd tests/arch/xilinx && bash run-test.sh $(SEEDOPT)
825 +cd tests/arch/ecp5 && bash run-test.sh $(SEEDOPT)
826 +cd tests/arch/machxo2 && bash run-test.sh $(SEEDOPT)
827 +cd tests/arch/efinix && bash run-test.sh $(SEEDOPT)
828 +cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
829 +cd tests/arch/gowin && bash run-test.sh $(SEEDOPT)
830 +cd tests/arch/intel_alm && bash run-test.sh $(SEEDOPT)
831 +cd tests/arch/nexus && bash run-test.sh $(SEEDOPT)
832 +cd tests/arch/quicklogic && bash run-test.sh $(SEEDOPT)
833 +cd tests/arch/gatemate && bash run-test.sh $(SEEDOPT)
834 +cd tests/rpc && bash run-test.sh
835 +cd tests/memfile && bash run-test.sh
836 +cd tests/verilog && bash run-test.sh
837 @echo ""
838 @echo " Passed \"make test\"."
839 @echo ""
840
841 VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
842
843 vgtest: $(TARGETS) $(EXTRA_TARGETS)
844 $(VALGRIND) ./yosys -p 'setattr -mod -unset top; synth' $$( ls tests/simple/*.v | grep -v repwhile.v )
845 @echo ""
846 @echo " Passed \"make vgtest\"."
847 @echo ""
848
849 vloghtb: $(TARGETS) $(EXTRA_TARGETS)
850 +cd tests/vloghtb && bash run-test.sh
851 @echo ""
852 @echo " Passed \"make vloghtb\"."
853 @echo ""
854
855 ystests: $(TARGETS) $(EXTRA_TARGETS)
856 rm -rf tests/ystests
857 git clone https://github.com/YosysHQ/yosys-tests.git tests/ystests
858 +$(MAKE) PATH="$$PWD:$$PATH" -C tests/ystests
859 @echo ""
860 @echo " Finished \"make ystests\"."
861 @echo ""
862
863 # Unit test
864 unit-test: libyosys.so
865 @$(MAKE) -C $(UNITESTPATH) CXX="$(CXX)" CPPFLAGS="$(CPPFLAGS)" \
866 CXXFLAGS="$(CXXFLAGS)" LDLIBS="$(LDLIBS)" ROOTPATH="$(CURDIR)"
867
868 clean-unit-test:
869 @$(MAKE) -C $(UNITESTPATH) clean
870
871 install: $(TARGETS) $(EXTRA_TARGETS)
872 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(BINDIR)
873 $(INSTALL_SUDO) cp $(filter-out libyosys.so,$(TARGETS)) $(DESTDIR)$(BINDIR)
874 ifneq ($(filter $(PROGRAM_PREFIX)yosys,$(TARGETS)),)
875 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys
876 endif
877 ifneq ($(filter $(PROGRAM_PREFIX)yosys-abc,$(TARGETS)),)
878 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys-abc
879 endif
880 ifneq ($(filter $(PROGRAM_PREFIX)yosys-filterlib,$(TARGETS)),)
881 $(INSTALL_SUDO) $(STRIP) $(DESTDIR)$(BINDIR)/$(PROGRAM_PREFIX)yosys-filterlib
882 endif
883 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(DATDIR)
884 $(INSTALL_SUDO) cp -r share/. $(DESTDIR)$(DATDIR)/.
885 ifeq ($(ENABLE_LIBYOSYS),1)
886 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(LIBDIR)
887 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
888 $(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
889 ifeq ($(ENABLE_PYOSYS),1)
890 $(INSTALL_SUDO) mkdir -p $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
891 $(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
892 $(INSTALL_SUDO) cp misc/__init__.py $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/
893 endif
894 endif
895
896 uninstall:
897 $(INSTALL_SUDO) rm -vf $(addprefix $(DESTDIR)$(BINDIR)/,$(notdir $(TARGETS)))
898 $(INSTALL_SUDO) rm -rvf $(DESTDIR)$(DATDIR)
899 ifeq ($(ENABLE_LIBYOSYS),1)
900 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
901 ifeq ($(ENABLE_PYOSYS),1)
902 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
903 $(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/__init__.py
904 $(INSTALL_SUDO) rmdir $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
905 endif
906 endif
907
908 update-manual: $(TARGETS) $(EXTRA_TARGETS)
909 cd manual && ../$(PROGRAM_PREFIX)yosys -p 'help -write-tex-command-reference-manual'
910
911 manual: $(TARGETS) $(EXTRA_TARGETS)
912 cd manual && bash appnotes.sh
913 cd manual && bash presentation.sh
914 cd manual && bash manual.sh
915
916 clean:
917 rm -rf share
918 rm -rf kernel/*.pyh
919 if test -d manual; then cd manual && sh clean.sh; fi
920 rm -f $(OBJS) $(GENFILES) $(TARGETS) $(EXTRA_TARGETS) $(EXTRA_OBJS) $(PY_WRAP_INCLUDES) $(PY_WRAPPER_FILE).cc
921 rm -f kernel/version_*.o kernel/version_*.cc
922 rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
923 rm -rf tests/asicworld/*.out tests/asicworld/*.log
924 rm -rf tests/hana/*.out tests/hana/*.log
925 rm -rf tests/simple/*.out tests/simple/*.log
926 rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
927 rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
928 rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp
929 rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
930 rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
931 rm -f tests/tools/cmp_tbdata
932
933 clean-abc:
934 $(MAKE) -C abc DEP= clean
935 rm -f $(PROGRAM_PREFIX)yosys-abc$(EXE) $(PROGRAM_PREFIX)yosys-libabc.a abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a
936
937 mrproper: clean
938 git clean -xdf
939
940 coverage:
941 ./$(PROGRAM_PREFIX)yosys -qp 'help; help -all'
942 rm -rf coverage.info coverage_html
943 lcov --capture -d . --no-external -o coverage.info
944 genhtml coverage.info --output-directory coverage_html
945
946 qtcreator:
947 { for file in $(basename $(OBJS)); do \
948 for prefix in cc y l; do if [ -f $${file}.$${prefix} ]; then echo $$file.$${prefix}; fi; done \
949 done; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \); } > qtcreator.files
950 { echo .; find backends frontends kernel libs passes -type f \( -name '*.h' -o -name '*.hh' \) -printf '%h\n' | sort -u; } > qtcreator.includes
951 touch qtcreator.config qtcreator.creator
952
953 vcxsrc: $(GENFILES) $(EXTRA_TARGETS)
954 rm -rf yosys-win32-vcxsrc-$(YOSYS_VER){,.zip}
955 set -e; for f in `ls $(filter %.cc %.cpp,$(GENFILES)) $(addsuffix .cc,$(basename $(OBJS))) $(addsuffix .cpp,$(basename $(OBJS))) 2> /dev/null`; do \
956 echo "Analyse: $$f" >&2; cpp -std=c++11 -MM -I. -D_YOSYS_ $$f; done | sed 's,.*:,,; s,//*,/,g; s,/[^/]*/\.\./,/,g; y, \\,\n\n,;' | grep '^[^/]' | sort -u | grep -v kernel/version_ > srcfiles.txt
957 bash misc/create_vcxsrc.sh yosys-win32-vcxsrc $(YOSYS_VER) $(GIT_REV)
958 echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys (Version Information Unavailable)\"; }" > kernel/version.cc
959 zip yosys-win32-vcxsrc-$(YOSYS_VER)/genfiles.zip $(GENFILES) kernel/version.cc
960 zip -r yosys-win32-vcxsrc-$(YOSYS_VER).zip yosys-win32-vcxsrc-$(YOSYS_VER)/
961 rm -f srcfiles.txt kernel/version.cc
962
963 ifeq ($(CONFIG),mxe)
964 mxebin: $(TARGETS) $(EXTRA_TARGETS)
965 rm -rf yosys-win32-mxebin-$(YOSYS_VER){,.zip}
966 mkdir -p yosys-win32-mxebin-$(YOSYS_VER)
967 cp -r $(PROGRAM_PREFIX)yosys.exe share/ yosys-win32-mxebin-$(YOSYS_VER)/
968 ifeq ($(ENABLE_ABC),1)
969 cp -r $(PROGRAM_PREFIX)yosys-abc.exe abc/lib/x86/pthreadVC2.dll yosys-win32-mxebin-$(YOSYS_VER)/
970 endif
971 echo -en 'This is Yosys $(YOSYS_VER) for Win32.\r\n' > yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
972 echo -en 'Documentation at https://yosyshq.net/yosys/.\r\n' >> yosys-win32-mxebin-$(YOSYS_VER)/readme.txt
973 zip -r yosys-win32-mxebin-$(YOSYS_VER).zip yosys-win32-mxebin-$(YOSYS_VER)/
974 endif
975
976 config-clean: clean
977 rm -f Makefile.conf
978
979 config-clang: clean
980 echo 'CONFIG := clang' > Makefile.conf
981
982 config-gcc: clean
983 echo 'CONFIG := gcc' > Makefile.conf
984
985 config-gcc-static: clean
986 echo 'CONFIG := gcc-static' > Makefile.conf
987 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
988 echo 'ENABLE_READLINE := 0' >> Makefile.conf
989 echo 'ENABLE_TCL := 0' >> Makefile.conf
990
991 config-gcc-4.8: clean
992 echo 'CONFIG := gcc-4.8' > Makefile.conf
993
994 config-afl-gcc: clean
995 echo 'CONFIG := afl-gcc' > Makefile.conf
996
997 config-emcc: clean
998 echo 'CONFIG := emcc' > Makefile.conf
999 echo 'ENABLE_TCL := 0' >> Makefile.conf
1000 echo 'ENABLE_ABC := 0' >> Makefile.conf
1001 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
1002 echo 'ENABLE_READLINE := 0' >> Makefile.conf
1003 echo 'ENABLE_ZLIB := 0' >> Makefile.conf
1004
1005 config-wasi: clean
1006 echo 'CONFIG := wasi' > Makefile.conf
1007 echo 'ENABLE_TCL := 0' >> Makefile.conf
1008 echo 'ENABLE_ABC := 0' >> Makefile.conf
1009 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
1010 echo 'ENABLE_READLINE := 0' >> Makefile.conf
1011 echo 'ENABLE_ZLIB := 0' >> Makefile.conf
1012
1013 config-mxe: clean
1014 echo 'CONFIG := mxe' > Makefile.conf
1015 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
1016
1017 config-msys2-32: clean
1018 echo 'CONFIG := msys2-32' > Makefile.conf
1019 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
1020 echo "PREFIX := $(MINGW_PREFIX)" >> Makefile.conf
1021
1022 config-msys2-64: clean
1023 echo 'CONFIG := msys2-64' > Makefile.conf
1024 echo 'ENABLE_PLUGINS := 0' >> Makefile.conf
1025 echo "PREFIX := $(MINGW_PREFIX)" >> Makefile.conf
1026
1027 config-cygwin: clean
1028 echo 'CONFIG := cygwin' > Makefile.conf
1029
1030 config-gcov: clean
1031 echo 'CONFIG := gcc' > Makefile.conf
1032 echo 'ENABLE_GCOV := 1' >> Makefile.conf
1033 echo 'ENABLE_DEBUG := 1' >> Makefile.conf
1034
1035 config-gprof: clean
1036 echo 'CONFIG := gcc' > Makefile.conf
1037 echo 'ENABLE_GPROF := 1' >> Makefile.conf
1038
1039 config-sudo:
1040 echo "INSTALL_SUDO := sudo" >> Makefile.conf
1041
1042 echo-yosys-ver:
1043 @echo "$(YOSYS_VER)"
1044
1045 echo-git-rev:
1046 @echo "$(GIT_REV)"
1047
1048 echo-abc-rev:
1049 @echo "$(ABCREV)"
1050
1051 -include libs/*/*.d
1052 -include frontends/*/*.d
1053 -include passes/*/*.d
1054 -include backends/*/*.d
1055 -include kernel/*.d
1056 -include techlibs/*/*.d
1057
1058 .PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator coverage vcxsrc mxebin
1059 .PHONY: config-clean config-clang config-gcc config-gcc-static config-gcc-4.8 config-afl-gcc config-gprof config-sudo