yosys.git
2 years agoYosys x.y.z yosys-0.10
Claire Xenia Wolf [Mon, 27 Sep 2021 14:07:30 +0000 (16:07 +0200)]
Yosys x.y.z

2 years agoBump version
github-actions[bot] [Sat, 25 Sep 2021 00:51:53 +0000 (00:51 +0000)]
Bump version

2 years agoMerge pull request #3014 from YosysHQ/claire/fix-vgtest
Claire Xen [Fri, 24 Sep 2021 15:50:34 +0000 (17:50 +0200)]
Merge pull request #3014 from YosysHQ/claire/fix-vgtest

Fix "make vgtest"

2 years agoFix TOK_ID memory leak in for_initialization
Zachary Snow [Thu, 23 Sep 2021 17:33:55 +0000 (13:33 -0400)]
Fix TOK_ID memory leak in for_initialization

2 years agoFix "make vgtest" so it runs to the end (but now it fails ;)
Claire Xenia Wolf [Wed, 22 Sep 2021 15:34:20 +0000 (17:34 +0200)]
Fix "make vgtest" so it runs to the end (but now it fails ;)

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Wed, 22 Sep 2021 00:54:54 +0000 (00:54 +0000)]
Bump version

2 years agosv: support wand and wor of data types
Zachary Snow [Sat, 14 Aug 2021 03:51:28 +0000 (20:51 -0700)]
sv: support wand and wor of data types

This enables the usage of declarations of wand or wor with a base type
of logic, integer, or a typename. Note that declarations of nets with
2-state base types is still permitted, in violation of the spec.

2 years agoverilog: fix multiple AST_PREFIX scope resolution issues
Zachary Snow [Tue, 3 Aug 2021 00:42:34 +0000 (18:42 -0600)]
verilog: fix multiple AST_PREFIX scope resolution issues

- Root AST_PREFIX nodes are now subject to genblk expansion to allow
  them to refer to a locally-visible generate block
- Part selects on AST_PREFIX member leafs can now refer to generate
  block items (previously would not resolve and raise an error)
- Add source location information to AST_PREFIX nodes

2 years agoBump version
github-actions[bot] [Sun, 19 Sep 2021 00:52:56 +0000 (00:52 +0000)]
Bump version

2 years agoMerge pull request #3010 from the6p4c/master
Miodrag Milanović [Sat, 18 Sep 2021 07:16:58 +0000 (09:16 +0200)]
Merge pull request #3010 from the6p4c/master

Fix protobuf backend build dependencies - intermittent build issue due to missing rule

2 years agoFix protobuf backend build dependencies
the6p4c [Fri, 17 Sep 2021 03:36:37 +0000 (13:36 +1000)]
Fix protobuf backend build dependencies

backends/protobuf/protobuf.cc depends on the source and header files
generated by protoc, but this dependency wasn't explicitly declared. Add
a rule to the Makefile to fix intermittent build failures when the
protobuf header/source file isn't built before protobuf.cc.

2 years agoBump version
github-actions[bot] [Tue, 14 Sep 2021 00:56:06 +0000 (00:56 +0000)]
Bump version

2 years agoverilog: Squash flex-triggered warning.
Marcelina Kościelnicka [Mon, 13 Sep 2021 13:38:54 +0000 (15:38 +0200)]
verilog: Squash flex-triggered warning.

2 years agoUpdates for CHANGELOG (#2997)
Miodrag Milanović [Mon, 13 Sep 2021 14:25:42 +0000 (16:25 +0200)]
Updates for CHANGELOG (#2997)

Added missing changes from git log and  group items

2 years agoBump version
github-actions[bot] [Sat, 11 Sep 2021 00:50:11 +0000 (00:50 +0000)]
Bump version

2 years agoMerge pull request #3001 from YosysHQ/claire/sigcheck
Miodrag Milanović [Fri, 10 Sep 2021 15:32:04 +0000 (17:32 +0200)]
Merge pull request #3001 from YosysHQ/claire/sigcheck

Add additional check to SigSpec

2 years agoAdd additional check to SigSpec
Claire Xenia Wolf [Fri, 10 Sep 2021 14:51:34 +0000 (16:51 +0200)]
Add additional check to SigSpec

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoyosys-smtbmc: Fix reused loop variable.
Marcelina Kościelnicka [Fri, 10 Sep 2021 02:55:48 +0000 (04:55 +0200)]
yosys-smtbmc: Fix reused loop variable.

Fixes #2999.

2 years agoBump version
github-actions[bot] [Fri, 10 Sep 2021 00:55:14 +0000 (00:55 +0000)]
Bump version

2 years agoabc9: make re-entrant (#2993)
Eddie Hung [Thu, 9 Sep 2021 17:06:31 +0000 (10:06 -0700)]
abc9: make re-entrant (#2993)

* Add testcase

* Cleanup some state at end of abc9

* Re-assign abc9_box_id from scratch

* Suppress delete unless prep_bypass did something

2 years agoabc9: holes module to instantiate cells with NEW_ID (#2992)
Eddie Hung [Thu, 9 Sep 2021 17:06:20 +0000 (10:06 -0700)]
abc9: holes module to instantiate cells with NEW_ID (#2992)

* Add testcase

* holes module to instantiate cells with NEW_ID

2 years agoabc9: replace cell type/parameters if derived type already processed (#2991)
Eddie Hung [Thu, 9 Sep 2021 17:05:55 +0000 (10:05 -0700)]
abc9: replace cell type/parameters if derived type already processed (#2991)

* Add close bracket

* Add testcase

* Replace cell type/param if in unmap_design

* Improve abc9_box error message too

* Update comment as per review

2 years agoBump version
github-actions[bot] [Fri, 3 Sep 2021 00:50:30 +0000 (00:50 +0000)]
Bump version

2 years agoupdate required verific version
Miodrag Milanovic [Thu, 2 Sep 2021 12:59:16 +0000 (14:59 +0200)]
update required verific version

2 years agoBump version
github-actions[bot] [Wed, 1 Sep 2021 00:55:51 +0000 (00:55 +0000)]
Bump version

2 years agosv: support declaration in generate for initialization
Zachary Snow [Tue, 31 Aug 2021 17:45:02 +0000 (11:45 -0600)]
sv: support declaration in generate for initialization

This is accomplished by generating a unique name for the genvar,
renaming references to the genvar only in the loop's initialization,
guard, and incrementation, and finally adding a localparam inside the
loop body with the original name so that the genvar can be shadowed as
expected.

2 years agoBump version
github-actions[bot] [Tue, 31 Aug 2021 00:51:55 +0000 (00:51 +0000)]
Bump version

2 years agosv: support declaration in procedural for initialization
Zachary Snow [Mon, 30 Aug 2021 17:35:36 +0000 (11:35 -0600)]
sv: support declaration in procedural for initialization

In line with other tools, this adds an extra wrapping block around such
for loops to appropriately scope the variable.

2 years agoBump version
github-actions[bot] [Mon, 30 Aug 2021 00:49:03 +0000 (00:49 +0000)]
Bump version

2 years ago[ECP5] fix wrong link for syn_* attributes description (#2984)
kittennbfive [Sun, 29 Aug 2021 09:45:23 +0000 (09:45 +0000)]
[ECP5] fix wrong link for syn_* attributes description (#2984)

2 years agoBump version
github-actions[bot] [Mon, 23 Aug 2021 00:46:01 +0000 (00:46 +0000)]
Bump version

2 years agoAdd DLLDELD
ECP5-PCIe [Sun, 22 Aug 2021 16:08:04 +0000 (18:08 +0200)]
Add DLLDELD

2 years agoopt_merge: Remove and reinsert init when connecting nets.
Marcelina Kościelnicka [Sun, 22 Aug 2021 15:01:58 +0000 (17:01 +0200)]
opt_merge: Remove and reinsert init when connecting nets.

Mutating the SigMap by adding a new connection will throw off FfInitVals
index.  Work around this by removing the relevant init values from index
whenever we connect nets, then re-add the new init value.

Should fix #2920.

2 years agoopt_clean: Make the init attribute follow the FF's Q.
Marcelina Kościelnicka [Sat, 21 Aug 2021 21:36:00 +0000 (23:36 +0200)]
opt_clean: Make the init attribute follow the FF's Q.

Previously, opt_clean would reconnect all ports (including FF Q ports)
to a "canonical" SigBit chosen by complex rules, but would leave the
init attribute on the old wire.  This change applies the same
canonicalization rules to the init attributes, ensuring that init moves
to wherever the Q port moved.

Part of another jab at #2920.

2 years agoBump version
github-actions[bot] [Sat, 21 Aug 2021 00:48:23 +0000 (00:48 +0000)]
Bump version

2 years agoGowin: deal with active-low tristate (#2971)
Pepijn de Vos [Fri, 20 Aug 2021 19:21:06 +0000 (21:21 +0200)]
Gowin: deal with active-low tristate (#2971)

* deal with active-low tristate

* remove empty port

* update sim models

* add expected lut1 to tests

2 years agoMerge pull request #2973 from YosysHQ/micko/optional_extensions
Miodrag Milanović [Fri, 20 Aug 2021 14:09:55 +0000 (16:09 +0200)]
Merge pull request #2973 from YosysHQ/micko/optional_extensions

Make Verific extensions optional

2 years agoMake Verific extensions optional
Miodrag Milanovic [Fri, 20 Aug 2021 08:19:04 +0000 (10:19 +0200)]
Make Verific extensions optional

2 years agoBump version
github-actions[bot] [Wed, 18 Aug 2021 00:51:20 +0000 (00:51 +0000)]
Bump version

2 years agoice40: Fix typo in SB_CARRY specify for LP/UltraPlus
Sylvain Munaut [Tue, 17 Aug 2021 08:21:04 +0000 (10:21 +0200)]
ice40: Fix typo in SB_CARRY specify for LP/UltraPlus

Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
2 years agoBump version
github-actions[bot] [Tue, 17 Aug 2021 00:49:33 +0000 (00:49 +0000)]
Bump version

2 years agokernel/mem: Remove old parameter when upgrading $mem to $mem_v2.
Marcelina Kościelnicka [Mon, 16 Aug 2021 10:31:01 +0000 (12:31 +0200)]
kernel/mem: Remove old parameter when upgrading $mem to $mem_v2.

Fixes #2967.

2 years agoBump version
github-actions[bot] [Sun, 15 Aug 2021 00:50:04 +0000 (00:50 +0000)]
Bump version

2 years agoproc_prune: Make assign removal and promotion per-bit, remember promoted bits.
Marcelina Kościelnicka [Sat, 14 Aug 2021 12:23:12 +0000 (14:23 +0200)]
proc_prune: Make assign removal and promotion per-bit, remember promoted bits.

Fixes #2962.

2 years agoBump version
github-actions[bot] [Sat, 14 Aug 2021 00:46:42 +0000 (00:46 +0000)]
Bump version

2 years agoGenerate an RTLIL representation of bind constructs
Rupert Swarbrick [Mon, 20 Apr 2020 15:06:53 +0000 (16:06 +0100)]
Generate an RTLIL representation of bind constructs

This code now takes the AST nodes of type AST_BIND and generates a
representation in the RTLIL for them.

This is a little tricky, because a binding of the form:

    bind baz foo_t foo_i (.arg (1 + bar));

means "make an instance of foo_t called foo_i, instantiate it inside
baz and connect the port arg to the result of the expression 1+bar".
Of course, 1+bar needs a cell for the addition. Where should that cell
live?

With this patch, the Binding structure that represents the construct
is itself an AST::AstModule module. This lets us put the adder cell
inside it. We'll pull the contents out and plonk them into 'baz' when
we actually do the binding operation as part of the hierarchy pass.

Of course, we don't want RTLIL::Binding to contain an
AST::AstModule (since kernel code shouldn't depend on a frontend), so
we define RTLIL::Binding as an abstract base class and put the
AST-specific code into an AST::Binding subclass. This is analogous to
the AST::AstModule class.

2 years agoAdd opt_mem_widen pass.
Marcelina Kościelnicka [Thu, 12 Aug 2021 22:43:15 +0000 (00:43 +0200)]
Add opt_mem_widen pass.

If all of us are wide, then none of us are!

2 years agomemory_share: Add -nosat and -nowiden options.
Marcelina Kościelnicka [Sat, 29 May 2021 15:45:05 +0000 (17:45 +0200)]
memory_share: Add -nosat and -nowiden options.

This unlocks wide port recognition by default.

2 years agomemory_dff: Recognize soft transparency logic.
Marcelina Kościelnicka [Tue, 10 Aug 2021 17:42:10 +0000 (19:42 +0200)]
memory_dff: Recognize soft transparency logic.

2 years agoAdd new opt_mem_priority pass.
Marcelina Kościelnicka [Thu, 12 Aug 2021 01:31:56 +0000 (03:31 +0200)]
Add new opt_mem_priority pass.

2 years agoMerge pull request #2932 from YosysHQ/mwk/logger-check-expected
Miodrag Milanović [Fri, 13 Aug 2021 09:45:20 +0000 (11:45 +0200)]
Merge pull request #2932 from YosysHQ/mwk/logger-check-expected

logger: Add -check-expected subcommand.

2 years agosv: improve support for wire and var with user-defined types
Brett Witherspoon [Tue, 22 Jun 2021 14:51:41 +0000 (09:51 -0500)]
sv: improve support for wire and var with user-defined types

- User-defined types must be data types. Using a net type (e.g. wire) is
  a syntax error.
- User-defined types without a net type are always variables (i.e.
  logic).
- Nets and variables can now be explicitly declared using user-defined
  types:

    typedef logic [1:0] W;
    wire W w;

    typedef logic [1:0] V;
    var V v;

Fixes #2846

2 years agoBump version
github-actions[bot] [Fri, 13 Aug 2021 00:50:48 +0000 (00:50 +0000)]
Bump version

2 years agomemory_share: Pass addresses through sigmap_xmux everywhere.
Marcelina Kościelnicka [Thu, 12 Aug 2021 21:06:51 +0000 (23:06 +0200)]
memory_share: Pass addresses through sigmap_xmux everywhere.

This fixes wide port recognition in some cases.

2 years agologger: Add -check-expected subcommand.
Marcelina Kościelnicka [Thu, 12 Aug 2021 15:36:03 +0000 (17:36 +0200)]
logger: Add -check-expected subcommand.

This allows us to have multiple "expect this warning" calls in a single
long script, covering only as many passes as necessary.

2 years agoBump version
github-actions[bot] [Thu, 12 Aug 2021 00:49:51 +0000 (00:49 +0000)]
Bump version

2 years agotest/arch/{ecp5,ice40}/memories.ys: Use read_verilog -defer.
Marcelina Kościelnicka [Wed, 11 Aug 2021 12:14:45 +0000 (14:14 +0200)]
test/arch/{ecp5,ice40}/memories.ys: Use read_verilog -defer.

These parts keep rereading a Verilog module, then using chparam
to test it with various parameter combinations.  Since the default
parameters are on the large side, this spends a lot of time
needlessly elaborating the default parametrization that will then
be discarded.  Fix it with -deref and manual hierarchy call.

Shaves 30s off the test time on my machine.

2 years agomemory_dff: Recognize read ports with reset / initial value.
Marcelina Kościelnicka [Thu, 27 May 2021 19:08:11 +0000 (21:08 +0200)]
memory_dff: Recognize read ports with reset / initial value.

2 years agoproc_memwr: Use the v2 memwr cell.
Marcelina Kościelnicka [Thu, 27 May 2021 18:55:09 +0000 (20:55 +0200)]
proc_memwr: Use the v2 memwr cell.

2 years agoAdd v2 memory cells.
Marcelina Kościelnicka [Thu, 27 May 2021 18:54:29 +0000 (20:54 +0200)]
Add v2 memory cells.

2 years agoBump version
github-actions[bot] [Wed, 11 Aug 2021 00:52:20 +0000 (00:52 +0000)]
Bump version

2 years agokernel/mem: Introduce transparency masks.
Marcelina Kościelnicka [Sat, 31 Jul 2021 21:21:37 +0000 (23:21 +0200)]
kernel/mem: Introduce transparency masks.

2 years agoAllow optional comma after last entry in enum
Michael Singer [Thu, 5 Aug 2021 19:02:35 +0000 (21:02 +0200)]
Allow optional comma after last entry in enum

2 years agoBump version
github-actions[bot] [Tue, 10 Aug 2021 00:52:49 +0000 (00:52 +0000)]
Bump version

2 years agoRefactor common parts of SAT-using optimizations into a helper.
Marcelina Kościelnicka [Tue, 3 Aug 2021 22:02:16 +0000 (00:02 +0200)]
Refactor common parts of SAT-using optimizations into a helper.

This also aligns the functionality:

- in all cases, the onehot attribute is used to create appropriate
  constraints (previously, opt_dff didn't do it at all, and share
  created one-hot constraints based on $pmux presence alone, which
  is unsound)
- in all cases, shift and mul/div/pow cells are now skipped when
  importing the SAT problem (previously only memory_share did this)
  — this avoids creating clauses for hard cells that are unlikely
  to help with proving the UNSATness needed for optimization

2 years agoBump version
github-actions[bot] [Sun, 8 Aug 2021 00:50:48 +0000 (00:50 +0000)]
Bump version

2 years agoopt_merge: Use FfInitVals.
Marcelina Kościelnicka [Sat, 7 Aug 2021 22:33:31 +0000 (00:33 +0200)]
opt_merge: Use FfInitVals.

Partial #2920 fix.

2 years agoBump version
github-actions[bot] [Sat, 7 Aug 2021 00:45:55 +0000 (00:45 +0000)]
Bump version

2 years agoverilog: Support tri/triand/trior wire types.
Marcelina Kościelnicka [Fri, 6 Aug 2021 18:49:41 +0000 (20:49 +0200)]
verilog: Support tri/triand/trior wire types.

These are, by the standard, just aliases for wire/wand/wor.

Fixes #2918.

2 years agoBump version
github-actions[bot] [Thu, 5 Aug 2021 00:51:08 +0000 (00:51 +0000)]
Bump version

2 years agomemory_share: Don't skip ports with EN wired to input for SAT sharing.
Marcelina Kościelnicka [Wed, 4 Aug 2021 01:33:41 +0000 (03:33 +0200)]
memory_share: Don't skip ports with EN wired to input for SAT sharing.

Fixes #2912.

2 years agoBump version
github-actions[bot] [Wed, 4 Aug 2021 00:49:53 +0000 (00:49 +0000)]
Bump version

2 years agomemory_bram: Move init data swizzling before other swizzling.
Marcelina Kościelnicka [Tue, 3 Aug 2021 12:28:10 +0000 (14:28 +0200)]
memory_bram: Move init data swizzling before other swizzling.

Fixes #2907.

2 years agoBump version
github-actions[bot] [Tue, 3 Aug 2021 00:55:22 +0000 (00:55 +0000)]
Bump version

2 years agoRequire latest verific
Miodrag Milanovic [Mon, 2 Aug 2021 08:29:16 +0000 (10:29 +0200)]
Require latest verific

2 years agoBump version
github-actions[bot] [Mon, 2 Aug 2021 00:50:24 +0000 (00:50 +0000)]
Bump version

2 years agobackend/verilog: Add alternate mode for transparent read port output.
Marcelina Kościelnicka [Tue, 25 May 2021 21:42:58 +0000 (23:42 +0200)]
backend/verilog: Add alternate mode for transparent read port output.

This mode will be used whenever read port cannot be handled in the
"extract address register" way, ie. whenever it has enable, reset,
init functionality or (in the future) mixed transparency mask.

2 years agomemory_bram: Some refactoring
Marcelina Kościelnicka [Sat, 31 Jul 2021 23:29:49 +0000 (01:29 +0200)]
memory_bram: Some refactoring

This will make more sense when the new transparency masks land.

Fixes #2902.

2 years agoBump version
github-actions[bot] [Sat, 31 Jul 2021 00:50:30 +0000 (00:50 +0000)]
Bump version

2 years agoUpdate version.yml
Miodrag Milanović [Fri, 30 Jul 2021 17:50:02 +0000 (19:50 +0200)]
Update version.yml

2 years agoFixes xc7 BRAM36s
Maciej Dudek [Thu, 29 Jul 2021 19:10:02 +0000 (21:10 +0200)]
Fixes xc7 BRAM36s

UG473 from Xilinx states that 15 bit should always be set if RAMB isn't in cascade mode.

Signed-off-by: Maciej Dudek <mdudek@antmicro.com>
2 years agoproc_rmdead: use explicit pattern set when there are no wildcards
Zachary Snow [Wed, 28 Jul 2021 21:34:24 +0000 (17:34 -0400)]
proc_rmdead: use explicit pattern set when there are no wildcards

If width of a case expression was large, explicit patterns could cause
the existing logic to take an extremely long time, or exhaust the
maximum size of the underlying set. For cases where all of the patterns
are fully defined and there are no constants in the case expression,
this change uses a simple set to track which patterns have been seen.

2 years agogenrtlil: add width detection for AST_PREFIX nodes
Zachary Snow [Thu, 29 Jul 2021 16:35:22 +0000 (12:35 -0400)]
genrtlil: add width detection for AST_PREFIX nodes

2 years agoBump version
github-actions[bot] [Fri, 30 Jul 2021 00:52:33 +0000 (00:52 +0000)]
Bump version

2 years agoopt_lut: Allow more than one -dlogic per cell type.
Marcelina Kościelnicka [Thu, 29 Jul 2021 14:55:15 +0000 (16:55 +0200)]
opt_lut: Allow more than one -dlogic per cell type.

Fixes #2061.

2 years agoverilog: save and restore overwritten macro arguments
Zachary Snow [Thu, 15 Jul 2021 14:36:50 +0000 (10:36 -0400)]
verilog: save and restore overwritten macro arguments

2 years agoBump version
github-actions[bot] [Thu, 29 Jul 2021 00:49:14 +0000 (00:49 +0000)]
Bump version

2 years agoverilog: Emit $meminit_v2 cell.
Marcelina Kościelnicka [Fri, 21 May 2021 00:27:06 +0000 (02:27 +0200)]
verilog: Emit $meminit_v2 cell.

Fixes #2447.

2 years agobackends/verilog: Support meminit with mask.
Marcelina Kościelnicka [Mon, 12 Jul 2021 18:43:09 +0000 (20:43 +0200)]
backends/verilog: Support meminit with mask.

2 years agomemory: Introduce $meminit_v2 cell, with EN input.
Marcelina Kościelnicka [Fri, 21 May 2021 00:26:52 +0000 (02:26 +0200)]
memory: Introduce $meminit_v2 cell, with EN input.

2 years agoBump version
github-actions[bot] [Wed, 28 Jul 2021 00:52:46 +0000 (00:52 +0000)]
Bump version

2 years agoproc: Run opt_expr at the end
Marcelina Kościelnicka [Tue, 27 Jul 2021 13:43:36 +0000 (15:43 +0200)]
proc: Run opt_expr at the end

2 years agoopt_expr: Propagate constants to port connections.
Marcelina Kościelnicka [Tue, 27 Jul 2021 13:24:48 +0000 (15:24 +0200)]
opt_expr: Propagate constants to port connections.

This adds one simple piece of functionality to opt_expr: when a cell
port is connected to a fully-constant signal (as determined by sigmap),
the port is reconnected directly to the constant value.  This is just
enough optimization to fix the "non-constant $meminit input" problem
without requiring a full opt_clean or a separate pass.

2 years agoBump version
github-actions[bot] [Tue, 27 Jul 2021 00:52:14 +0000 (00:52 +0000)]
Bump version

2 years agoAdd version bump workflow
Miodrag Milanovic [Mon, 26 Jul 2021 09:25:32 +0000 (11:25 +0200)]
Add version bump workflow

2 years agoUpdate to latest verific
Miodrag Milanovic [Wed, 21 Jul 2021 07:46:53 +0000 (09:46 +0200)]
Update to latest verific

2 years agoUse new read_id_num helper function elsewhere in hierarchy.cc
Rupert Swarbrick [Mon, 19 Jul 2021 08:23:41 +0000 (09:23 +0100)]
Use new read_id_num helper function elsewhere in hierarchy.cc

2 years agoExtract connection checking logic from expand_module in hierarchy.cc
Rupert Swarbrick [Wed, 27 May 2020 14:54:42 +0000 (15:54 +0100)]
Extract connection checking logic from expand_module in hierarchy.cc

No functional change, but pulls more logic out of the expand_module
function.

2 years agoMerge pull request #2885 from whitequark/cxxrtl-fix-2883
whitequark [Tue, 20 Jul 2021 13:12:11 +0000 (13:12 +0000)]
Merge pull request #2885 from whitequark/cxxrtl-fix-2883

cxxrtl: treat wires with multiple defs as not inlinable

2 years agoMerge pull request #2884 from whitequark/cxxrtl-fix-2882
whitequark [Tue, 20 Jul 2021 13:12:03 +0000 (13:12 +0000)]
Merge pull request #2884 from whitequark/cxxrtl-fix-2882

cxxrtl: treat assignable internal wires used only for debug as locals