yosys.git
2 years agoRelease version 0.12 yosys-0.12
Miodrag Milanovic [Fri, 3 Dec 2021 11:48:49 +0000 (12:48 +0100)]
Release version 0.12

2 years agoUpdate manual
Miodrag Milanovic [Fri, 3 Dec 2021 08:57:14 +0000 (09:57 +0100)]
Update manual

2 years agoAdd gitignore for gatemate
Miodrag Milanovic [Fri, 3 Dec 2021 08:56:37 +0000 (09:56 +0100)]
Add gitignore for gatemate

2 years agoMake sure cell names are unique for wide operators
Miodrag Milanovic [Fri, 3 Dec 2021 08:49:05 +0000 (09:49 +0100)]
Make sure cell names are unique for wide operators

2 years agoBump version
github-actions[bot] [Thu, 2 Dec 2021 00:54:50 +0000 (00:54 +0000)]
Bump version

2 years agoUpdate CHANGELOG and CODEOWNERS
Miodrag Milanovic [Wed, 1 Dec 2021 07:42:37 +0000 (08:42 +0100)]
Update CHANGELOG and CODEOWNERS

2 years agoBump version
github-actions[bot] [Fri, 26 Nov 2021 00:52:41 +0000 (00:52 +0000)]
Bump version

2 years agointel_alm: preliminary Arria V support
Lofty [Wed, 24 Nov 2021 21:20:40 +0000 (21:20 +0000)]
intel_alm: preliminary Arria V support

2 years agosta: very crude static timing analysis pass
Lofty [Wed, 24 Nov 2021 21:21:08 +0000 (21:21 +0000)]
sta: very crude static timing analysis pass

Co-authored-by: Eddie Hung <eddie@fpgeh.com>
2 years agoBump version
github-actions[bot] [Thu, 18 Nov 2021 00:54:02 +0000 (00:54 +0000)]
Bump version

2 years agoMerge pull request #3080 from YosysHQ/micko/init_wire
Miodrag Milanović [Wed, 17 Nov 2021 12:57:56 +0000 (13:57 +0100)]
Merge pull request #3080 from YosysHQ/micko/init_wire

Give initial wire unique ID, fixes #2914

2 years agoGive initial wire unique ID, fixes #2914
Miodrag Milanovic [Wed, 17 Nov 2021 11:19:06 +0000 (12:19 +0100)]
Give initial wire unique ID, fixes #2914

2 years agoBump version
github-actions[bot] [Wed, 17 Nov 2021 00:53:07 +0000 (00:53 +0000)]
Bump version

2 years agoSupport parameters using struct as a wiretype (#3050)
Kamil Rakoczy [Tue, 16 Nov 2021 09:59:54 +0000 (10:59 +0100)]
Support parameters using struct as a wiretype (#3050)

Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
2 years agoBump version
github-actions[bot] [Sun, 14 Nov 2021 00:54:56 +0000 (00:54 +0000)]
Bump version

2 years agosynth_gatemate Revert cascade A/B port mixup
Patrick Urban [Fri, 12 Nov 2021 07:47:15 +0000 (08:47 +0100)]
synth_gatemate Revert cascade A/B port  mixup

2 years agosynth_gatemate: Remove iob_map invokation
Patrick Urban [Wed, 10 Nov 2021 17:46:07 +0000 (18:46 +0100)]
synth_gatemate: Remove iob_map invokation

2 years agosynth_gatemate: Add block RAM cascade support
Patrick Urban [Wed, 10 Nov 2021 15:18:13 +0000 (16:18 +0100)]
synth_gatemate: Add block RAM cascade support

* add simulation model for block RAM cascade in 40K mode
* limit 20K_SDP and 40K_SDP to 40 and 80 bits (the only useful configurations)

2 years agosynth_gatemate: Remove obsolete iob_map
Patrick Urban [Wed, 10 Nov 2021 14:44:54 +0000 (15:44 +0100)]
synth_gatemate: Remove obsolete iob_map

2 years agosynth_gatemate: Update pass
Patrick Urban [Mon, 25 Oct 2021 09:10:00 +0000 (11:10 +0200)]
synth_gatemate: Update pass

* remove `write_edif` and `write_blif` options
* remove redundant `abc` call before muxcover
* update style

2 years agosynth_gatemate: Remove specify blocks
Patrick Urban [Wed, 20 Oct 2021 07:24:01 +0000 (09:24 +0200)]
synth_gatemate: Remove specify blocks

2 years agosynth_gatemate: Remove gatemate_bramopt pass
Patrick Urban [Wed, 20 Oct 2021 07:07:01 +0000 (09:07 +0200)]
synth_gatemate: Remove gatemate_bramopt pass

2 years agosynth_gatemate: Apply new test practice with assert-max
Patrick Urban [Mon, 18 Oct 2021 08:46:18 +0000 (10:46 +0200)]
synth_gatemate: Apply new test practice with assert-max

2 years agosynth_gatemate: Fix fsm test
Patrick Urban [Mon, 11 Oct 2021 08:31:03 +0000 (10:31 +0200)]
synth_gatemate: Fix fsm test

2 years agosynth_gatemate: Revise block RAM read modes and initialization
Patrick Urban [Mon, 11 Oct 2021 08:19:29 +0000 (10:19 +0200)]
synth_gatemate: Revise block RAM read modes and initialization

* enable mixed read-width / write-width ports in SDP mode
* fix NO_CHANGE and WRITE_THROUGH behavior during read access
* remove redundant zero-initialization
* set A/B_WE bit during map (gatemate_bramopt pass could be removed later)
* differentiate "upper" and "lower" initialization for cascade mode

2 years agosynth_gatemate: Remove unsupported FF initialization
Patrick Urban [Mon, 11 Oct 2021 06:56:18 +0000 (08:56 +0200)]
synth_gatemate: Remove unsupported FF initialization

2 years agosynth_gatemate: Rename multiplier factor parameters
Patrick Urban [Fri, 24 Sep 2021 19:53:39 +0000 (21:53 +0200)]
synth_gatemate: Rename multiplier factor parameters

2 years agosynth_gatemate: Registers are uninitialized
Patrick Urban [Fri, 24 Sep 2021 19:52:09 +0000 (21:52 +0200)]
synth_gatemate: Registers are uninitialized

2 years agoAllow initial blocks to be disabled during tests
Patrick Urban [Fri, 24 Sep 2021 19:50:26 +0000 (21:50 +0200)]
Allow initial blocks to be disabled during tests

Wrap initial blocks with a NO_INIT so that tests for archs without register initialization feature don't fail.

2 years agosynth_gatemate: Apply review remarks
Patrick Urban [Fri, 24 Sep 2021 14:00:59 +0000 (16:00 +0200)]
synth_gatemate: Apply review remarks

* remove unused techmap models in `map_regs.v`
* replace RAM initilization loops with 320-bit-writes
* add script to test targets in top-level Makefile
* remove `MAXWIDTH` parameter and treat both vector widths individually in `mult_map.v`
* iterate over all modules in `gatemate_bramopt` pass

2 years agosynth_gatemate: Apply review remarks
Patrick Urban [Tue, 14 Sep 2021 13:10:32 +0000 (15:10 +0200)]
synth_gatemate: Apply review remarks

2 years agosynth_gatemate: Initial implementation
Patrick Urban [Mon, 13 Sep 2021 15:16:15 +0000 (17:16 +0200)]
synth_gatemate: Initial implementation

Signed-off-by: Patrick Urban <patrick.urban@web.de>
2 years agoBump version
github-actions[bot] [Sat, 13 Nov 2021 00:52:01 +0000 (00:52 +0000)]
Bump version

2 years agoshow: Fix wire bit indexing.
Marcelina Kościelnicka [Fri, 12 Nov 2021 10:55:47 +0000 (11:55 +0100)]
show: Fix wire bit indexing.

Fixes #3078.

2 years agoupdate abc
Miodrag Milanovic [Fri, 12 Nov 2021 11:40:24 +0000 (12:40 +0100)]
update abc

2 years agoUpdate abc
Miodrag Milanovic [Fri, 12 Nov 2021 08:00:32 +0000 (09:00 +0100)]
Update abc

2 years agoBump version
github-actions[bot] [Thu, 11 Nov 2021 00:54:18 +0000 (00:54 +0000)]
Bump version

2 years agoMerge pull request #3075 from YosysHQ/micko/verific_mem_size
Claire Xen [Wed, 10 Nov 2021 19:24:00 +0000 (20:24 +0100)]
Merge pull request #3075 from YosysHQ/micko/verific_mem_size

No need to allocate more memory than used

2 years agoMerge pull request #3077 from YosysHQ/claire/genlib
Claire Xen [Wed, 10 Nov 2021 19:02:34 +0000 (20:02 +0100)]
Merge pull request #3077 from YosysHQ/claire/genlib

Add genlib support to ABC command

2 years agoSpelling fix in abc.cc
Claire Xen [Wed, 10 Nov 2021 15:47:54 +0000 (16:47 +0100)]
Spelling fix in abc.cc

2 years agoAdd genlib support to ABC command
Claire Xenia Wolf [Wed, 10 Nov 2021 15:40:47 +0000 (16:40 +0100)]
Add genlib support to ABC command

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoiopadmap: Fix ebmarassing typo
Marcelina Kościelnicka [Wed, 10 Nov 2021 13:55:28 +0000 (14:55 +0100)]
iopadmap: Fix ebmarassing typo

2 years agoNo need to alocate more memory than used
Miodrag Milanovic [Wed, 10 Nov 2021 09:50:44 +0000 (10:50 +0100)]
No need to alocate more memory than used

2 years agoBump version
github-actions[bot] [Wed, 10 Nov 2021 00:54:39 +0000 (00:54 +0000)]
Bump version

2 years agogenrtlil: Fix displaying debug info in packages
Kamil Rakoczy [Wed, 20 Oct 2021 07:07:22 +0000 (09:07 +0200)]
genrtlil: Fix displaying debug info in packages

Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
2 years agoiopadmap: Add native support for negative-polarity output enable.
Marcelina Kościelnicka [Tue, 9 Nov 2021 10:22:48 +0000 (11:22 +0100)]
iopadmap: Add native support for negative-polarity output enable.

2 years agoBump version
github-actions[bot] [Tue, 9 Nov 2021 00:53:27 +0000 (00:53 +0000)]
Bump version

2 years agoUpdate CODEOWNERS
Miodrag Milanović [Mon, 8 Nov 2021 15:59:45 +0000 (16:59 +0100)]
Update CODEOWNERS

2 years agoLimit macOS GH actions
Miodrag Milanović [Mon, 8 Nov 2021 15:56:24 +0000 (16:56 +0100)]
Limit macOS GH actions

2 years agoBump version
github-actions[bot] [Mon, 8 Nov 2021 00:53:20 +0000 (00:53 +0000)]
Bump version

2 years agosynth_gowin: move splitnets to after iopadmap (#2435)
Pepijn de Vos [Sun, 7 Nov 2021 17:00:18 +0000 (18:00 +0100)]
synth_gowin: move splitnets to after iopadmap (#2435)

2 years agomanual: fix pdflatex inputenc undefined char error
Gabriel Somlo [Sun, 7 Nov 2021 00:08:56 +0000 (20:08 -0400)]
manual: fix pdflatex inputenc undefined char error

Signed-off-by: Gabriel Somlo <gsomlo@gmail.com>
2 years agoRemove noalu from synth_gowin json output as Apicula now supports it
Pepijn de Vos [Sat, 6 Nov 2021 16:14:12 +0000 (17:14 +0100)]
Remove noalu from synth_gowin json output as Apicula now supports it

2 years agoBump version
github-actions[bot] [Sun, 7 Nov 2021 00:54:38 +0000 (00:54 +0000)]
Bump version

2 years agogowin: widelut support (#3042)
Pepijn de Vos [Sat, 6 Nov 2021 15:09:30 +0000 (16:09 +0100)]
gowin: widelut support (#3042)

2 years agoBump version
github-actions[bot] [Sat, 6 Nov 2021 00:51:08 +0000 (00:51 +0000)]
Bump version

2 years agoNext dev cycle
Miodrag Milanovic [Fri, 5 Nov 2021 11:52:24 +0000 (12:52 +0100)]
Next dev cycle

2 years agoRelease version 0.11 yosys-0.11
Miodrag Milanovic [Fri, 5 Nov 2021 11:47:38 +0000 (12:47 +0100)]
Release version 0.11

2 years agoMust use latest flex to generate c++17 compatible code
Miodrag Milanovic [Fri, 5 Nov 2021 10:41:51 +0000 (11:41 +0100)]
Must use latest flex to generate c++17 compatible code

2 years agoMake it work on all
Miodrag Milanovic [Fri, 5 Nov 2021 09:51:58 +0000 (10:51 +0100)]
Make it work on all

2 years agoCorrect way of setting maybe_unsused on labels
Miodrag Milanovic [Fri, 5 Nov 2021 09:36:15 +0000 (10:36 +0100)]
Correct way of setting maybe_unsused on labels

2 years agoAdd missing changelog item
Miodrag Milanovic [Fri, 5 Nov 2021 09:08:50 +0000 (10:08 +0100)]
Add missing changelog item

2 years agoUpdate command reference
Miodrag Milanovic [Fri, 5 Nov 2021 09:04:15 +0000 (10:04 +0100)]
Update command reference

2 years agoMerge pull request #3067 from YosysHQ/aki/ci_update
Miodrag Milanović [Fri, 5 Nov 2021 08:58:35 +0000 (09:58 +0100)]
Merge pull request #3067 from YosysHQ/aki/ci_update

Update the Linux and macOS CI jobs

2 years agoRemoved semicolon from macro
Miodrag Milanovic [Fri, 5 Nov 2021 08:57:37 +0000 (09:57 +0100)]
Removed semicolon from macro

2 years agoBump version
github-actions[bot] [Wed, 3 Nov 2021 00:52:24 +0000 (00:52 +0000)]
Bump version

2 years agoflatten: Keep sigmap around between flatten_cell invocations.
Marcelina Kościelnicka [Tue, 2 Nov 2021 11:38:28 +0000 (12:38 +0100)]
flatten: Keep sigmap around between flatten_cell invocations.

Fixes #3064.

2 years agoBump version
github-actions[bot] [Tue, 2 Nov 2021 00:56:31 +0000 (00:56 +0000)]
Bump version

2 years agoMerge pull request #3068 from YosysHQ/claire/verific_cfg
Claire Xen [Mon, 1 Nov 2021 11:53:47 +0000 (12:53 +0100)]
Merge pull request #3068 from YosysHQ/claire/verific_cfg

Add "verific -cfg" command

2 years agoAdd "verific -cfg" command
Claire Xenia Wolf [Mon, 1 Nov 2021 09:41:51 +0000 (10:41 +0100)]
Add "verific -cfg" command

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Mon, 1 Nov 2021 01:05:04 +0000 (01:05 +0000)]
Bump version

2 years agoci: removed the old `test.yml` workflow, as it was replaced by `test-linux.yml` and...
Aki Van Ness [Thu, 28 Oct 2021 07:23:03 +0000 (03:23 -0400)]
ci: removed the old `test.yml` workflow, as it was replaced by `test-linux.yml` and `test-macos.yml`

2 years agoci: expanded the macOS tests suite to cover more compilers and C++ versions
Aki Van Ness [Thu, 28 Oct 2021 01:43:51 +0000 (21:43 -0400)]
ci: expanded the macOS tests suite to cover more compilers and C++ versions

2 years agoci: expanded the Linux test suite to cover more compilers and C++ versions
Aki Van Ness [Wed, 27 Oct 2021 23:18:16 +0000 (19:18 -0400)]
ci: expanded the Linux test suite to cover more compilers and C++ versions

2 years agoChanged the Makefile to have an explicit `CXXSTD` parameter which allows for the...
Aki Van Ness [Thu, 28 Oct 2021 00:02:33 +0000 (20:02 -0400)]
Changed the Makefile to have an explicit `CXXSTD` parameter which allows for the setting of other C++ standards, the default is `c++11`

2 years agoMerge pull request #3066 from YosysHQ/claire/verific_gclk
Claire Xen [Sun, 31 Oct 2021 17:04:54 +0000 (18:04 +0100)]
Merge pull request #3066 from YosysHQ/claire/verific_gclk

Fix verific gclk handling for async-load FFs

2 years agoFix verific gclk handling for async-load FFs
Claire Xenia Wolf [Sun, 31 Oct 2021 16:12:29 +0000 (17:12 +0100)]
Fix verific gclk handling for async-load FFs

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoBump version
github-actions[bot] [Sat, 30 Oct 2021 00:51:07 +0000 (00:51 +0000)]
Bump version

2 years agoAdd missing items in CHANGELOG
Miodrag Milanovic [Fri, 29 Oct 2021 11:31:41 +0000 (13:31 +0200)]
Add missing items in CHANGELOG

2 years agoUpdate command reference part of manual
Miodrag Milanovic [Fri, 29 Oct 2021 11:10:50 +0000 (13:10 +0200)]
Update command reference part of manual

2 years agoBump version
github-actions[bot] [Thu, 28 Oct 2021 00:52:35 +0000 (00:52 +0000)]
Bump version

2 years agoMerge pull request #3063 from YosysHQ/micko/verific_aldff
Miodrag Milanović [Wed, 27 Oct 2021 15:20:31 +0000 (17:20 +0200)]
Merge pull request #3063 from YosysHQ/micko/verific_aldff

Enable async load dff emit by default in Verific

2 years agoecp5: Add support for mapping aldff.
Marcelina Kościelnicka [Wed, 27 Oct 2021 12:04:21 +0000 (14:04 +0200)]
ecp5: Add support for mapping aldff.

2 years agoEnable async load dff emit by default in Verific
Miodrag Milanovic [Wed, 27 Oct 2021 13:56:56 +0000 (15:56 +0200)]
Enable async load dff emit by default in Verific

2 years agoRevert "Compile option for enabling async load verific support"
Miodrag Milanovic [Wed, 27 Oct 2021 13:55:43 +0000 (15:55 +0200)]
Revert "Compile option for enabling async load verific support"

This reverts commit b8624ad2aef941776f5b4a08f66f8d43e70f8467.

2 years agoproc_dff: Emit $aldff.
Marcelina Kościelnicka [Sat, 2 Oct 2021 00:34:13 +0000 (02:34 +0200)]
proc_dff: Emit $aldff.

2 years agodfflegalize: Add tests for aldff lowering.
Marcelina Kościelnicka [Wed, 27 Oct 2021 11:37:26 +0000 (13:37 +0200)]
dfflegalize: Add tests for aldff lowering.

2 years agodfflegalize: Add tests targetting aldff.
Marcelina Kościelnicka [Wed, 27 Oct 2021 11:14:34 +0000 (13:14 +0200)]
dfflegalize: Add tests targetting aldff.

2 years agodfflegalize: Refactor, add aldff support.
Marcelina Kościelnicka [Wed, 27 Oct 2021 08:14:07 +0000 (10:14 +0200)]
dfflegalize: Refactor, add aldff support.

2 years agoBump version
github-actions[bot] [Wed, 27 Oct 2021 00:51:44 +0000 (00:51 +0000)]
Bump version

2 years agoverilog: use derived module info to elaborate cell connections
Zachary Snow [Wed, 20 Oct 2021 00:46:26 +0000 (18:46 -0600)]
verilog: use derived module info to elaborate cell connections

- Attempt to lookup a derived module if it potentially contains a port
  connection with elaboration ambiguities
- Mark the cell if module has not yet been derived
- This can be extended to implement automatic hierarchical port
  connections in a future change

2 years agoSplit out logic for reprocessing an AstModule
Rupert Swarbrick [Wed, 20 Oct 2021 00:43:30 +0000 (18:43 -0600)]
Split out logic for reprocessing an AstModule

This will enable other features to use same core logic for replacing an
existing AstModule with a newly elaborated version.

2 years agoBump version
github-actions[bot] [Tue, 26 Oct 2021 00:51:59 +0000 (00:51 +0000)]
Bump version

2 years agoCompile option for enabling async load verific support
Miodrag Milanovic [Mon, 25 Oct 2021 07:04:43 +0000 (09:04 +0200)]
Compile option for enabling async load verific support

2 years agoBump version
github-actions[bot] [Fri, 22 Oct 2021 01:00:39 +0000 (01:00 +0000)]
Bump version

2 years agoChange implicit conversions from bool to Sig* to explicit.
Marcelina Kościelnicka [Thu, 21 Oct 2021 16:26:47 +0000 (18:26 +0200)]
Change implicit conversions from bool to Sig* to explicit.

Also fixes some completely broken code in extract_reduce.

2 years agoMerge pull request #3057 from YosysHQ/claire/verific_latches
Claire Xen [Thu, 21 Oct 2021 11:00:53 +0000 (13:00 +0200)]
Merge pull request #3057 from YosysHQ/claire/verific_latches

Initial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}

2 years agoFix verific.cc PRIM_DLATCH handling
Claire Xenia Wolf [Thu, 21 Oct 2021 10:13:35 +0000 (12:13 +0200)]
Fix verific.cc PRIM_DLATCH handling

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoInitial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}
Claire Xenia Wolf [Thu, 21 Oct 2021 03:42:47 +0000 (05:42 +0200)]
Initial Verific impoter support for {PRIM,WIDE_OPER}_DLATCH{,RS}

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoextract_reduce: Refactor and fix input signal construction.
Marcelina Kościelnicka [Thu, 21 Oct 2021 00:58:10 +0000 (02:58 +0200)]
extract_reduce: Refactor and fix input signal construction.

Fixes #3047.