yosys.git
2019-08-26 Clifford WolfYosys 0.9 yosys-0.9
2019-08-23 Eddie HungRevert earliest to gcc-4.8, compile iverilog with defau...
2019-08-23 Eddie HungRevert "Bump to gcc-5 as `__warn_memset_zero_len' symbo...
2019-08-23 Eddie HungRemove .0 from clang-8.0
2019-08-23 Eddie HungBump to gcc-5 as `__warn_memset_zero_len' symbol not...
2019-08-23 Eddie Hungbionic -> xenial as its on whitelist
2019-08-23 Eddie HungBump gcc from 4.8 to 4.9 as undefined reference
2019-08-23 Miodrag MilanovicMake macOS depenency clear
2019-08-22 Miodrag Milanovicdo not require boost if pyosys is not used
2019-08-22 Chris Shucksmithrequire tcl-tk in Brewfile
2019-08-22 Clifford WolfBump year in copyright notice
2019-08-02 Miodrag MilanovicVisual Studio build fix
2019-08-02 Miodrag MilanovicFix linking issue for new mxe and pthread
2019-08-02 Miodrag MilanovicFix yosys linking for mxe
2019-08-02 Miodrag MilanovicNew mxe hacks needed to support 2ca237e
2019-08-02 Miodrag MilanovicFix formatting for msys2 mingw build using GetSize
2019-07-26 David ShahUpdate CHANGELOG
2019-07-09 Clifford WolfMerge pull request #1175 from whitequark/write_verilog...
2019-07-09 David ShahUpdate CHANGELOG
2019-07-09 Clifford WolfMerge pull request #1163 from whitequark/more-case...
2019-07-09 Clifford WolfMerge pull request #1162 from whitequark/rtlil-case...
2019-07-09 Clifford WolfMerge pull request #1159 from btut/fix/1090_segfault_ce...
2019-07-09 Clifford WolfMerge pull request #1147 from YosysHQ/clifford/fix1144
2019-07-09 Clifford WolfMerge pull request #1154 from whitequark/manual-sync...
2019-07-09 David ShahMerge pull request #1153 from YosysHQ/dave/fix_multi_mux
2019-07-09 Clifford WolfFix read_verilog assert/assume/etc on default case...
2019-07-09 Eddie Hungautotest.sh to define _AUTOTB when test_autotb
2019-07-09 Clifford WolfMerge pull request #1146 from gsomlo/gls-test-abc-ext
2019-07-02 Eddie HungCheckout yosys-0.9-rc branch of yosys-tests
2019-06-28 Eddie HungAdd missing CHANGELOG entries
2019-06-27 Eddie HungMerge pull request #1139 from YosysHQ/dave/check-sim...
2019-06-27 Eddie HungGrr
2019-06-27 Eddie HungCapitalisation
2019-06-27 Eddie HungMake CHANGELOG clearer
2019-06-27 Eddie HungMerge pull request #1143 from YosysHQ/clifford/fix1135
2019-06-27 Eddie HungAdd simcells.v, simlib.v, and some output
2019-06-27 Eddie HungAdd #1135 testcase
2019-06-27 Eddie Hungsynth_xilinx -arch -> -family, consistent with older...
2019-06-27 Eddie HungMerge pull request #1142 from YosysHQ/clifford/fix1132
2019-06-27 Eddie HungMerge pull request #1138 from YosysHQ/koriakin/xc7nocar...
2019-06-27 Eddie HungCopy tests from eddie/fix1132
2019-06-27 Clifford WolfAdd "pmux2shiftx -norange", fixes #1135
2019-06-27 Clifford WolfFix handling of partial covers in muxcover, fixes ...
2019-06-26 Eddie HungGrrr
2019-06-26 David Shahtests: Check that Icarus can parse arch sim models
2019-06-26 Eddie HungFix spacing
2019-06-26 Eddie HungOops. Actually use nocarry flag as spotted by @koriakin
2019-06-26 Clifford WolfMerge pull request #1137 from mmicko/cell_sim_fix
2019-06-26 Miodrag MilanovicSimulation model verilog fix
2019-06-26 Eddie Hungsynth_ecp5 rename -nomux to -nowidelut, but preserve...
2019-06-26 Eddie HungMerge branch 'xc7nocarrymux' of https://github.com...
2019-06-26 Clifford WolfImprove opt_clean handling of unused public wires
2019-06-26 Clifford WolfImprove BTOR2 handling of undriven wires
2019-06-26 Clifford WolfFix segfault on failed VERILOG_FRONTEND::const2ast...
2019-06-26 Clifford WolfDo not clean up buffer cells with "keep" attribute...
2019-06-26 Clifford WolfEscape scope names starting with dollar sign in smtio.py
2019-06-26 whitequarkAdd more ECP5 Diamond flip-flops.
2019-06-25 Eddie HungAdd testcase from #335, fixed by #1130
2019-06-25 Clifford WolfMerge pull request #1130 from YosysHQ/eddie/fix710
2019-06-25 Eddie HungFix spacing
2019-06-25 Eddie HungMove only one consumer check outside of while loop
2019-06-25 Eddie HungMerge pull request #1129 from YosysHQ/eddie/ram32x1d
2019-06-25 Clifford WolfMerge pull request #1075 from YosysHQ/eddie/muxpack
2019-06-25 Eddie HungWalk through as many muxes as exist for rd_en
2019-06-25 Eddie HungAdd test
2019-06-24 Eddie HungAdd RAM32X1D support
2019-06-24 Clifford WolfMerge pull request #1124 from mmicko/json_ports
2019-06-22 Eddie HungMerge remote-tracking branch 'origin/master' into eddie...
2019-06-22 Eddie HungAdd 'muxcover -dmux=<cost>' and '-nopartial' to CHANGELOG
2019-06-22 Eddie HungMerge pull request #1108 from YosysHQ/clifford/fix1091
2019-06-21 Eddie HungCope with $reduce_or common in case
2019-06-21 Eddie HungAdd more tests
2019-06-21 Eddie HungFix testcase
2019-06-21 Eddie HungFix spacing
2019-06-21 Eddie HungAdd doc
2019-06-21 Eddie HungAdd more muxpack tests, with overlapping entries
2019-06-21 Eddie HungFix up ExclusiveDatabase with @cliffordwolf's help
2019-06-21 Eddie HungMerge branch 'master' into eddie/muxpack
2019-06-21 Miodrag MilanovicFix json formatting
2019-06-21 Miodrag MilanovicAdd upto and offset to JSON ports
2019-06-21 Clifford WolfMerge pull request #1123 from mmicko/fix_typo
2019-06-21 Clifford WolfReplace "muxcover -freedecode" with "muxcover -dmux...
2019-06-21 Miodrag MilanovicFix typo
2019-06-21 Eddie HungMerge pull request #1085 from YosysHQ/eddie/shregmap_im...
2019-06-21 Clifford WolfMerge pull request #1122 from YosysHQ/clifford/jsonports
2019-06-21 Clifford WolfAdded JSON upto and offset
2019-06-21 Clifford WolfMerge pull request #1121 from YosysHQ/ecp5-ccu2c-inv
2019-06-21 David Shahecp5: Improve mapping of $alu when BI is used
2019-06-21 Clifford WolfMerge pull request #1117 from bwidawsk/more-home
2019-06-21 Clifford WolfMerge pull request #1119 from YosysHQ/eddie/fix1118
2019-06-21 Clifford WolfMerge pull request #1116 from YosysHQ/eddie/fix1115
2019-06-21 Clifford WolfAdd "muxcover -freedecode"
2019-06-21 Eddie HungFix gcc invalidation behaviour for write_aiger
2019-06-21 Clifford WolfImprovements in muxcover
2019-06-21 Eddie HungMissing a `clean` and `opt_expr -mux_bool` in test
2019-06-21 Eddie HungAdd test
2019-06-21 Clifford WolfAdd support for partial matches to muxcover, fixes...
2019-06-21 Eddie HungActually, there might not be any harm in updating sigmap...
2019-06-20 Eddie HungAdd comment as per @cliffordwolf
2019-06-20 Eddie HungAdd test
next