(no commit message)
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 ## ikiwiki
137
138 Runs the main libre-soc.org site (including this page). effective,
139 stunningly light on resources, and uses a git repository not a database.
140 That means it can be edited offline.
141
142 Usual deal: register an account and you can start editing and contributing
143 straight away.
144
145 Hint: to create a new page, find a suitable page that would link to it,
146 first, then put the link in of the page you want to create, as if the
147 page already exists. Save that page, and you will find a question mark
148 next to the new link you created. click that link, and it will fire up a
149 "create new page" editor.
150
151 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
152
153 Hint again: the wiki is backed by a git repository. Don't go overboard
154 but at the same time do not be afraid that you might "damage" or "lose"
155 pages. Although it would be a minor pain, the pages can always be
156 reverted or edited by the sysadmins to restore things if you get in a tiz.
157
158 Assistance in creating a much better theme greatly appreciated. e.g.
159 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
160
161 ## git
162
163 We use git. More on this below. We also use
164 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
165 again, it is extremely effective and low resource utilisation. Reminder:
166 lions are involved if github is mentioned.
167
168 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
169 does a decent job. <https://git.libre-soc.org/>
170
171 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
172 tracks changes to files so that previous versions can be got back or
173 compared.
174
175 Checklist page [[HDL_workflow/git_checklist]]
176
177 ## ftp server
178
179 <https://ftp.libre-soc.org/> is available for storing large files
180 that do not belong in a git repository, if we have (or ever need)
181 any. Images (etc.) if small and appropriate should go into the
182 wiki, however .tgz archives (etc.) and, at some point, binaries,
183 should be on the ftp server.
184
185 Ask on the list if you have a file that belongs on the ftp server.
186
187 ## server
188
189 As an aside: all this is "old school" and run on a single core 512MB
190 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
191 mythic-beasts and means that the project is in no way dependent on anyone
192 else - not microsoft, not google, not facebook, not amazon.
193
194 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
195 don't ask to replace the above extremely resource-efficient services
196 with it.
197
198 # Hardware
199
200 RAM is the biggest requirement. Minimum 16GB, the more the better (32
201 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
202 critical: 256GB SSD should be more than adequate. Simulations and
203 FPGA compilations however are where raw processing power is a must.
204 High end Graphics Cards are nonessential.
205
206 What is particularly useful is to have hi-res screens (curved is
207 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
208 going "prism" through long term use), and to have several of them: the
209 more the better. Either a DisplayLink UD160A (or more modern variant)
210 or simply using a second machine (lower spec hardware because it will
211 run editors) is really effective.
212
213 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
214 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
215 than 16:9 particularly when using several of them. However, caveat
216 (details below): please when editing do not assume that everyone will
217 have access to such high resolution screens.
218
219 # Operating System
220
221 First install and become familiar with
222 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
223 if you absolutely
224 must) for standardisation cross-team and so that toolchain installation
225 is greatly simplified. yosys in particular warns that trying to use
226 Windows, BSD or MacOS will get you into a world of pain.
227
228 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
229 sufficient (alongside wicd-gtk for network management). Other more
230 complex desktops can be used however may consume greater resources.
231
232 # editors and editing
233
234 Whilst this is often a personal choice, the fact that many editors are
235 GUI based and run full-screen with the entire right hand side *and* middle
236 *and* the majority of the left side of the hi-res screen entirely unused
237 and bereft of text leaves experienced developers both amused and puzzled.
238
239 At the point where such full-screen users commit code with line lengths
240 well over 160 characters, that amusement quickly evaporates.
241
242 Where the problems occur with full-screen editor usage is when a project
243 is split into dozens if not hundreds of small files (as this one is). At
244 that point it becomes pretty much essential to have as many as six to
245 eight files open *and on-screen* at once, without overlaps i.e. not in
246 hidden tabs, next to at least two if not three additional free and clear
247 terminals into which commands are regularly and routinely typed (make,
248 git commit, nosetests3 etc). Illustrated with the following 3840x2160
249 screenshot (click to view full image), where *every one* of those 80x70
250 xterm windows is *relevant to the task at hand*.
251
252 [[!img 2020-01-24_11-56.png size=640x ]]
253
254 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
255 additionally requiring a mouse click, can save a huge amount of cumulative
256 development time here, switching between editor terminal(s) and the
257 command terminals).
258
259 Once this becomes necessary, it it turn implies that having greater
260 than 80 chars per line - and running editors full-screen - is a severe
261 hinderance to an essential *and highly effective* workflow technique.
262
263 Additionally, care should be taken to respect that not everyone will have
264 200+ column editor windows and the eyesight of a hawk. They may only have
265 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
266 Consequently, having excessively long functions is also a hindrance to
267 others, as such developers with limited screen resources would need to
268 continuously page-up and page-down to read the code even of a single
269 function, in full.
270
271 This helps explain in part, below, why compliance with
272 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
273 In short: not everyone has the same "modern" GUI workflow or has access
274 to the same computing resources as you, so please do respect that.
275
276 More on this concept is
277 [here](https://www.linuxjournal.com/content/line-length-limits).
278 Note *very pointedly* that Linus Torvalds *specifically* states that
279 he does not want Linux kernel development to become the exclusive
280 domain of the "wealthy". That means **no** to assumptions about
281 access to ultra-high resolution screens.
282
283 # Software prerequisites<a name="software-prerequisites"></a>
284
285 **Please make sure if you install manually that you install dependencies
286 in strict order. Failing to adhere to this will result in pip3 downloading
287 unauthorised older software versions. See
288 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
289
290 Whilst many resources online advocate "`sudo`" in front of all root-level
291 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
292 root prompt, and save yourself some typing.
293
294 * sudo bash
295 * apt-get install vim exuberant-ctags
296 * apt-get install build-essential
297 * apt-get install git python3.7 python3.7-dev python3-nose
298 * apt-get install graphviz xdot gtkwave
299 * apt-get install python3-venv
300 * apt-get install python-virtualenv # this is an alternative to python3-venv
301 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
302 * return to user prompt (ctrl-d)
303
304 (The above assumes that you are running Debian.)
305
306 This will get you python3 and other tools that are
307 needed. [graphviz](https://graphviz.org/) is essential
308 for showing the interconnections between cells, and
309 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
310
311 If you would like to save yourself a lot more typing, check out the
312 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
313 repository, examine the scripts there and use them to automate much of
314 the process below.
315
316 If you would like just to install only the apt dependencies use
317 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
318
319 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
320
321 ## git
322
323 Look up good tutorials on how to use git effectively. There are so many
324 it is hard to recommend one. This is however essential. If you are not
325 comfortable with git, and you let things stay that way, it will seriously
326 impede development progress.
327
328 If working all day you should expect to be making at least two commits per
329 hour, so should become familiar with it very quickly. If you are *not*
330 doing around 2 commits per hour, something is wrong and you should read
331 the workflow instructions below more carefully, and also ask for advice
332 on the mailing list.
333
334 Worth noting: *this project does not use branches*. All code is committed
335 to master and we *require* that it be either zero-impact additions or that
336 relevant unit tests pass 100%. This ensures that people's work does not
337 get "lost" or isolated and out of touch due to major branch diversion,
338 and that people communicate and coordinate with each other.
339
340 This is not a hard rule: under special cirmstances branches can be useful.
341 They should not however be considered "routine".
342
343 For advice on commit messages see
344 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
345 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
346
347 ## yosys
348
349 Follow the source code (git clone) instructions here, do **not** use
350 the "stable" version (do not download the tarball):
351 <https://github.com/YosysHQ/yosys>
352
353 Or, alternatively, use the
354 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
355 script (which also installs symbiyosys and its dependencies)
356
357 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
358 evolving and frequently interacts with yosys.
359
360 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
361 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
362 language.
363 RTL [Register Transfer
364 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
365 models how data moves between
366 [registers](https://en.wikipedia.org/wiki/Hardware_register).
367
368 ## symbiyosys
369
370 To install follow the [instructions
371 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
372 Once done look at [A simple BMC
373 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
374
375 You do not have to install all of those (avy, boolector can be left
376 out if desired) however the more that are installed the more effective
377 the formal proof scripts will be (less resource utilisation in certain
378 circumstances).
379
380 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
381 front-end driver program for Yosys-based formal hardware verification
382 flows.
383
384 ## nmigen
385
386 **PLEASE NOTE: it is critical to install nmigen as the first dependency
387 prior to installing any further python-based Libre-SOC HDL repositories.
388 If "pip3 list" shows that nmigen has been auto-installed please remove it**
389
390 [nmigen](https://nmigen.info/) may be installed as follows:
391
392 * mkdir ~/src
393 * cd !$
394 * git clone https://gitlab.com/nmigen/nmigen.git
395 * cd nmigen
396 * sudo bash
397 * python3 setup.py develop
398 * ctrl-d
399
400 Testing can then be carried out with "python3 setup.py test"
401
402 nmigen is a Python toolbox for building complex digital hardware.
403
404 ## Softfloat and sfpy
405
406 These are a test suite dependency for the
407 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
408 library, and will be changed in the future to use Jacob's
409 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
410 In the meantime, sfpy can be built as follows:
411
412 git clone --recursive https://github.com/billzorn/sfpy.git
413 cd sfpy
414 cd SoftPosit
415 git apply ../softposit_sfpy_build.patch
416 git apply /path/to/ieee754fpu/SoftPosit.patch
417 cd ../berkely-softfloat-3
418 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
419 # it contains the same changes as this one
420 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
421 cd ..
422
423 # prepare a virtual environment for building
424 python3 -m venv .env
425
426 # or, if you prefer the old way:
427 # virtualenv -p python3 .env
428
429 # install dependencies
430 source .env/bin/activate
431 pip3 install --upgrade -r requirements.txt
432
433 # build
434 make lib -j$(nproc)
435 make cython
436 make inplace -j$(nproc)
437 make wheel
438
439 # install
440 deactivate # deactivates venv, optional
441 pip3 install dist/sfpy*.whl
442
443 You can test your installation by doing the following:
444
445 python3
446 >>> from sfpy import Posit8
447 >>> Posit8(1.3)
448
449 It should print out `Posit8(1.3125)`
450
451 ## qemu, cross-compilers, gdb
452
453 As we are doing POWER ISA, POWER ISA compilers, toolchains and
454 emulators are required.
455 Again, if you want to save yourself some typing, use the dev scripts.
456 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
457 script will install the qemu;
458 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
459 script will install the toolchain and the corresponding debugger.
460 The steps are provided below only for reference; when in doubt,
461 consider checking and running the scripts.
462
463 Install powerpc64 gcc:
464
465 apt-get install gcc-8-powerpc64-linux-gnu
466
467 Install qemu:
468
469 apt-get install qemu-system-ppc
470
471 Install gdb from source. Obtain the required tarball matching
472 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
473 unpack it, then:
474
475 cd gdb-8.3 (or other location)
476 mkdir build
477 cd build
478 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
479 make -j$(nproc)
480 make install
481
482 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
483 programs. [qemu](https://www.qemu.org/) emulates processors, you can
484 run programs under qemu.
485
486 ## power-instruction-analyzer (pia)
487
488 We have a custom tool built in Rust by programmerjake to help analyze
489 the OpenPower instructions' execution on *actual* hardware.
490
491 Install Rust:
492
493 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
494
495 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
496
497 rustup default stable
498 rustup update
499
500 Install the Python extension from git source by doing the following:
501
502 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
503 cd pia
504 ./libre-soc-install.sh
505
506 ## Chips4Makers JTAG
507
508 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
509 interface, instead require a full complete independent implementation
510 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
511 superb and well-written. The Libre-SOC version includes DMI (Debug
512 Memory Interface):
513
514 git clone https://git.libre-soc.org/git/c4m-jtag.git/
515 cd c4m-jtag
516 python3 setup.py develop
517
518 Included is an IDCODE tap point, Wishbone Master (for direct memory read
519 and write, fully independent of the core), IOPad redirection and testing,
520 and general purpose shift register capability for any custom use.
521
522 We added a DMI to JTAG bridge in LibreSOC which is
523 directly connected to the core, to access registers and
524 to be able to start and stop the core and change the PC.
525 In combination with the JTAG Wishbone interface the test
526 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
527 can have a bootloader uploaded directly into onboard
528 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
529 execution begun.
530
531 [Chips4Makers](https://chips4makers.io/) make it possible for makers
532 and hobbyists to make their own open source chips.
533
534 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
535 an industry standard for verifying designs and testing printed circuit
536 boards after manufacture.
537
538 The [Wishbone
539 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
540 source hardware computer bus intended to let the parts of an integrated
541 circuit communicate with each other.
542
543 ## Coriolis2
544 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
545
546 ## Nextpnr
547
548 A portable FPGA place and route tool.
549
550 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
551 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
552 and the Lattice VERSA_ECP5.
553
554 ## Verilator
555
556 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
557
558 Advise use only v4.106 at the moment.
559
560 See [[HDL_workflow/verilator]] page for installation instructions.
561
562 ## GHDL
563
564 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
565
566 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
567
568 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
569
570 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
571
572 See [[HDL_workflow/ghdl]] page for installation instructions.
573
574 ## Icarus Verilog
575
576 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
577
578 See [[HDL_workflow/iverilog]] page for installation instructions.
579
580 ## Cocotb
581
582 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
583
584 See [[HDL_workflow/cocotb]] page for installation instructions.
585
586 ## Symbiflow
587
588 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
589
590 Needed for the Arty A7 100t Digilent FPGA board.
591
592 See [[HDL_workflow/symbiflow]] for installation instructions
593 and dependencies.
594
595 ## Nextpnr-xilinx
596
597 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
598
599 Needed for Arty A7 100t Digilent FPGA board.
600
601 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
602
603 # Registering for git repository access<a name="gitolite3_access"></a>
604
605 After going through the onboarding process and having agreed to take
606 responsibility for certain tasks, ask on the mailing list for git
607 repository access, sending in a public key (`id_rsa.pub`). If you do
608 not have one then generate it with `ssh-keygen -t rsa`. You will find it
609 in `~/.ssh`
610
611 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
612 account of being public, is perfectly fine to make... err... public.
613
614 Create a file `~/.ssh/config` with the following lines:
615
616 Host git.libre-soc.org
617 Port 922
618
619 Test that you have access with this command:
620
621 ssh -v -p922 gitolite3@git.libre-soc.org
622
623 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
624 port-scanning, and detection of password failures are used to instantly
625 ban IP addresses.
626
627 Wait for the Project Admin to confirm that the ssh key has been added
628 to the required repositories. Once confirmed, you can clone any of the
629 repos at https://git.libre-soc.org/:
630
631 git clone gitolite3@git.libre-soc.org:REPONAME.git
632
633 Alternatively, the .ssh/config can be skipped and this used:
634
635 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
636
637 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
638 fail2ban is running and, due to repeated persistent port-scanning spammers
639 is set up to instantly ban any unauthorised ssh access for up to two weeks.
640 This keeps log file sizes down on the server (which is resource-constrained).
641 If you are wondering why this is done, it's a *lot* of port-scans.
642
643 Therefore, *only* ssh in to server with the gitolite3 account, *only*
644 on port 922, and *only* once the systems administrator has given you
645 the all-clear that the ssh key has been added.
646
647 # git configuration
648
649 Although there are methods online which describe how (and why) these
650 settings are normally done, honestly it is simpler and easier to open
651 ~/.gitconfig and add them by hand.
652
653 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
654 files they don't become a pain. pull.rebase is something that is greatly
655 preferred for this project because it avoids the mess of "multiple
656 extra merge git tree entries", and branch.autosetuprebase=always will,
657 if you want it, always ensure that a new git checkout is set up with rebase.
658
659 [core]
660 autocrlf = input
661 [push]
662 default = simple
663 [pull]
664 rebase = true
665 [branch]
666 autosetuprebase = always
667
668 # Checking out the HDL repositories
669
670 Before running the following, install the
671 dependencies. This is easiest done with this script
672 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
673
674 **It is critically important to install these in STRICT order, otherwise
675 pip3 interferes and performs unauthorised downloads without informing
676 you of what it is doing**.
677
678 * mkdir ~/src
679 * cd !$
680 * git clone gitolite3@git.libre-soc.org:nmigen.git
681 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
682 * git clone gitolite3@git.libre-soc.org:nmutil.git
683 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
684 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
685 * git clone gitolite3@git.libre-soc.org:nmigen-soc.git
686 * git clone gitolite3@git.libre-soc.org:soc.git
687
688 In each of these directories, **in the order listed***, track down the
689 `setup.py` file, then, as root (`sudo bash`), run the following:
690
691 * python3 setup.py develop
692
693 The reason for using "develop" mode is that the code may be edited
694 in-place yet still imported "globally". There are variants on this theme
695 for multi-user machine use however it is often just easier to get your
696 own machine these days.
697
698 The reason for the order is because soc depends on ieee754fpu, and
699 ieee754fpu depends on nmutil. If you do not follow the listed order
700 pip3 will go off and download an arbitrary version without your
701 consent.
702
703 If "`python3 setup.py install`" is used it is a pain: edit, then
704 install. edit, then install. It gets extremely tedious, hence why
705 "develop" was created.
706
707 If you prefer you can use this script instead: of course you checked it
708 in advance and accept full responsibility.
709 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
710
711 # Development Rules
712
713 Team communication:
714
715 * new members, add yourself to the [[about_us]] page and create yourself
716 a home page using someone else's page as a template.
717 * communicate on the mailing list or the bugtracker an intent to take
718 responsibility for a particular task.
719 * assign yourself as the bug's owner
720 * *keep in touch* about what you are doing, and why you are doing it.
721 * edit your home page regularly, particularly to track tasks so that
722 they can be paid by NLNet.
723 * if you cannot do something that you have taken responsibility for,
724 then unless it is a dire personal emergency please say so, on-list. we
725 won't mind. we'll help sort it out.
726
727 Regarding the above it is important that you read, understand, and agree
728 to the [[charter]] because the charter is about ensuring that we operate
729 as an effective organisation. It's *not* about "setting rules and meting
730 out punishment".
731
732 ## Coding
733
734 for actual code development
735
736 ### Plan unit tests
737
738 * plan in advance to write not just code but a full test suite for
739 that code. **this is not optional**. large python projects that do not
740 have unit tests **FAIL** (see separate section below).
741 * Prioritise writing formal proofs and a single clear unit test that is more
742 like a "worked example".
743 We receive NLNet funds for writing formal proofs, plus they
744 cover corner cases and take far less time to write
745
746 ### Commit tested or zero-dependent code
747
748 * only commit code that has been tested (or is presently unused). other
749 people will be depending on you, so do take care not to screw up.
750 not least because, as it says in the [[charter]] it will be your
751 responsibility to fix. that said, do not feel intimidated: ask for help
752 and advice, and you'll get it straight away.
753
754 ### Commit often
755
756 * commit often. several times a day, and "git push" it. this is
757 collaboration. if something is left even overnight uncommitted and not
758 pushed so that other people can see it, it is a red flag.
759 * if you find
760 yourself thinking "i'll commit it when it's finished" or "i don't want to
761 commit something that people might criticise" *this is not collaboration*,
762 it is making yourself a bottleneck. pair-programming is supposed to help
763 avoid this kind of thing however pair-programming is difficult to organise
764 for remote collaborative libre projects (suggestions welcomed here)
765
766 ### Enable editor auto-detection of file changes by external programs
767
768 This is important. "`git pull`" will merge in changes. If you then
769 arbitrarily save a file without re-loading it, you risk destroying
770 other people's work.
771
772 You can avoid damaging the repositories by following some simple procedures:
773
774 run appropriate unit tests
775 git pull
776 run appropriate unit tests again (checks other people's work)
777 git diff # and actually read and review the output
778 git status # check for any missing files
779 git commit # with appropriate arguments and message
780 git push # always always always do this
781
782 ### Absolutely no auto-generated output
783
784 * **do not commit autogenerated output**. write a shell script and commit
785 that, or add a `Makefile` to run the command that generates the output, but
786 **do not** add the actual output of **any** command to the repository.
787 ever. this is really important. even if it is a human-readable file
788 rather than a binary object file.
789 * it is very common to add PDFs (the result of running `latex2pdf`) or
790 configure.in (the result of running `automake`), they are an absolute
791 nuisance and interfere hugely with git diffs, as well as waste hard
792 disk space *and* network bandwidth. don't do it.
793 * do not add multi-megabyte or multi-gigabyte "test data".
794 use shell scripts and commit that, which automatically downloads the
795 "test data" from a well-known known-good reliable location instead.
796
797 ### Write commands that do tasks and commit those
798
799 * if the command needed to create any given autogenerated output is not
800 currently in the list of known project dependencies, first consult on
801 the list if it is okay to make that command become a hard dependency of
802 the project (hint: java, node.js php and .NET commands may cause delays
803 in response time due to other list participants laughing hysterically),
804 and after a decision is made, document the dependency and how its source
805 code is obtained and built (hence why it has to be discussed carefully)
806 * if you find yourself repeating commands regularly, chances are high
807 that someone else will need to run them, too. clearly this includes
808 yourself, therefore, to make everyone's lives easier including your own,
809 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
810 the repository and document them at the very minimum in the README,
811 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
812 ask on the mailing list for advice.
813
814 ### Keep commits single-purpose
815
816 * edit files making minimal *single purpose* modifications (even if
817 it involves multiple files. Good extreme example: globally changing
818 a function name across an entire codebase is one purpose, one commit,
819 yet hundreds of files. miss out one of those files, requiring multiple
820 commits, and it actually becomes a nuisance).
821
822 ### Run unit tests prior to commits
823
824 * prior to committing make sure that relevant unit tests pass, or that
825 the change is a zero-impact addition (no unit tests fail at the minimum)
826
827 ### Do not break existing code
828
829 * keep working code working **at all times**. find ways to ensure that
830 this is the case. examples include writing alternative classes that
831 replace existing functionality and adding runtime options to select
832 between old and new code.
833
834 ### Small commits with relevant commit message
835
836 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
837 (no "added this" or "changed that").
838 * if as you write you find that the commit message involves a *list* of
839 changes or the word "and", then STOP. do not proceed: it is a "red flag"
840 that the commit has not been properly broken down into separate-purpose
841 commits. ask for advice on-list on how to proceed.
842
843 ### Exceptions to small commit: atomic single purpose commit
844
845 * if it is essential to commit large amounts of code, ensure that it
846 is **not** in use **anywhere** by any other code. then make a *small*
847 (single purpose) followup commit which actually puts that code into use.
848
849 This last rule is kinda flexible, because if you add the code *and* add
850 the unit test *and* added it into the main code *and* ran all relevant
851 unit tests on all cascade-impacted areas by that change, that's perfectly
852 fine too. however if it is the end of a day, and you need to stop and
853 do not have time to run the necessary unit tests, do *not* commit the
854 change which integrates untested code: just commit the new code (only)
855 and follow up the next day *after* running the full relevant unit tests.
856
857 ### Why such strict rules?
858
859 The reason for all the above is because python is a dynamically typed
860 language. make one tiny change at the base level of the class hierarchy
861 and the effect may be disastrous.
862
863 It is therefore worth reiterating: make absolutely certain that you *only*
864 commit working code or zero-impact code.
865
866 Therefore, if you are absolutely certain that a new addition (new file,
867 new class, new function) is not going to have any side-effects, committing
868 it (a large amount of code) is perfectly fine.
869
870 As a general rule, however, do not use this an an excuse to write code
871 first then write unit tests as an afterthought. write *less* code *in
872 conjunction* with its (more basic) unit tests, instead. then, folliw up with
873 additions and improvements.
874
875 The reason for separating out commits to single purpose only becomes
876 obvious (and regretted if not followed) when, months later, a mistake
877 has to be tracked down and reverted. if the commit does not have an
878 easy-to-find message, it cannot even be located, and once found, if the
879 commit confuses several unrelated changes, not only the diff is larger
880 than it should be, the reversion process becomes extremely painful.
881
882 ### PEP8 format
883
884 * all code needs to conform to pep8. use either pep8checker or better
885 run autopep8. however whenever committing whitespace changes, *make a
886 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
887 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
888 you think you need greater than 80 chars, it *fundamentally* indicates
889 poor code design. split the code down further into smaller classes
890 and functions.
891
892 ### Docstring checker
893
894 * TBD there is a docstring checker. at the minimum make sure to have
895 an SPD license header, module header docstring, class docstring and
896 function docstrings on at least non-obvious functions.
897
898 ### Clear code commenting and docstrings
899
900 * make liberal but not excessive use of comments. describe a group of
901 lines of code, with terse but useful comments describing the purpose,
902 documenting any side-effects, and anything that could trip you or other
903 developers up. unusual coding techniques should *definitely* contain
904 a warning.
905
906 ### Only one class per module (ish)
907
908 * unless they are very closely related, only have one module (one class)
909 per file. a file only 25 lines long including imports and docstrings
910 is perfectly fine however don't force yourself. again, if unsure,
911 ask on-list.
912
913 ### File and Directory hierarchy
914
915 * *keep files short and simple*. see below as to why
916 * create a decent directory hierarchy but do not go mad. ask for advice
917 if unsure
918
919 ### No import star!
920
921 * please do not use "from module import \*". it is extremely bad practice,
922 causes unnecessary resource utilisation, makes code readability and
923 tracking extremely difficult, and results in unintended side-effects.
924
925 Example: often you want to find the code from which a class was imported.
926 nirmally you go to the top of the file, check the imports, and you know
927 exactly which file has the class because of the import path. by using
928 wildcards, you have absolutely *no clue* which wildcard imported which
929 class or classes.
930
931 Example: sometimes you may accidentally have duplicate code maintained
932 in two or more places. editing one of them you find, puzzlingly, that
933 the code behaves in some files with the old behaviour, but in others it
934 works. after a massive amount of investigation, you find that the working
935 files happen to have a wildcard import of the newer accidental duplicate
936 class **after** the wildcard import of the older class with exactly the
937 same name. if you had used explicit imports, you would have spotted
938 the double import of the class from two separate locations, immediately.
939
940 Really. don't. use. wildcards.
941
942 More about this here:
943
944 * <https://www.asmeurer.com/removestar/>
945 * <https://rules.sonarsource.com/python/RSPEC-2208>
946
947 ### Keep file and variables short but clear
948
949 * try to keep both filenames and variable names short but not ridiculously
950 obtuse. an interesting compromise on imports is "from ridiculousfilename
951 import longsillyname as lsn", and to assign variables as well: "comb =
952 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
953 that can reduce code indentation by 6 characters without reducing clarity.
954
955 Additionally, use comments just above an obtuse variable in order to
956 help explain what it is for. In combination with keeping the the module
957 itself short, other readers will not need to scroll back several pages
958 in order to understand the code.
959
960 Yes it is tempting to actually use the variables as
961 self-explanatory-comments and generally this can be extremely good
962 practice. the problem comes when the variable is so long that a function
963 with several parameters csn no longer fit on a single line, and takes
964 up five to ten lines rather than one or two. at that point, the length
965 of the code is adversely affected and thus so is readability by forcing
966 readers to scroll through reams of pages.
967
968 It is a tricky balance: basically use your common sense, or just ask
969 someone else, "can you understand this code?"
970
971 ### Reasons for code structure
972
973 Regarding code structure: we decided to go with small modules that are
974 both easy to analyse, as well as fit onto a single page and be readable
975 when displayed as a visual graph on a full UHD monitor. this is done
976 as follows:
977
978 * using the capability of nmigen (TODO crossref to example) output the
979 module to a yosys ilang (.il) file
980 * in a separate terminal window, run yosys
981 * at the yosys prompt type "read_ilang modulename.il"
982 * type "show top" and a graphviz window should appear. note that typing
983 show, then space, then pressing the tab key twice will give a full list
984 of submodules (one of which will be "top")
985
986 You can now fullsize the graphviz window and scroll around. if it looks
987 reasonably obvious at 100% zoom, i.e the connections can be clearly
988 related in your mind back to the actual code (by matching the graph names
989 against signals and modules in the original nmigen code) and the words are
990 not tiny when zoomed out, and connections are not total incomprehensible
991 spaghetti, then congratulations, you have well-designed code. If not,
992 then this indicates a need to split the code further into submodules
993 and do a bit more work.
994
995 The reasons for doing a proper modularisation job are several-fold:
996
997 * firstly, we will not be doing a full automated layout-and-hope
998 using alliance/coriolis2, we will be doing leaf-node thru tree node
999 half-automated half-manual layout, finally getting to the floorplan,
1000 then revising and iteratively adjusting.
1001 * secondly, examining modules at the gate level (or close to it) is just
1002 good practice. poor design creeps in by *not* knowing what the tools
1003 are actually doing (word to experienced developers: yes, we know that
1004 the yosys graph != final netlist).
1005 * thirdly, unit testing, particularly formal proofs, is far easier on
1006 small sections of code, and complete in a reasonable time.
1007
1008 ## Special warning / alert to vim users!
1009
1010 Some time around the beginning of 2019 some bright spark decided that
1011 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1012 idea to enable by default from that point onwards.
1013
1014 This incredibly annoying "feature" results in tabs (or spaces) being
1015 inserted "on your behalf" when you press return on one line, for your
1016 "convenience" of not needing to type lots of spaces/tabs just to get
1017 to the same indentation level.
1018
1019 Of course, this "feature", if you press return on one line in edit
1020 mode and then press "escape", leaves a bundle-of-joy extraneous
1021 whitespace **exactly** where you don't want it, and didn't ask for it,
1022 pooped all over your file.
1023
1024 Therefore, *please*: **before** running "git commit", get into the
1025 habit of always running "git diff", and at the very minimum
1026 speed-skim the entire diff, looking for tell-tale "red squares"
1027 (these show up under bash diff colour-syntax-highlighting) that
1028 inform you that, without your knowledge or consent, vim has
1029 "helpfully" inserted extraneous whitespace.
1030
1031 Remove them **before** git committing because they are not part
1032 of the actual desired code-modifications, and committing them
1033 is a major and constant distraction for reviewers about actual
1034 important things like "the code that actually *usefully* was
1035 modified for that commit"
1036
1037 This has the useful side-effect of ensuring that, right before
1038 the commit, you've got the actual diff right in front of you
1039 in the xterm window, on which you can base the "commit message".
1040
1041 ## Unit tests
1042
1043 For further reading, see the wikipedia page on
1044 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1045
1046 This deserves its own special section. It is extremely important to
1047 appreciate that without unit tests, python projects are simply unviable.
1048 Python itself has over 25,000 individual tests.
1049
1050 This can be quite overwhelming to a beginner developer, especially one
1051 used to writing scripts of only 100 lines in length.
1052
1053 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1054 proof is not only shorter, it's also far more readable and also, if
1055 written properly, provides 100% coverage of corner-cases that would
1056 otherwise be overlooked or require tens to hundreds of thousands of
1057 tests to be run.
1058
1059 No this is not a joke or even remotely hypothetical, this is an actual
1060 real-world problem.
1061
1062 The ieee754fpu requires several hundreds of thousands of tests to be
1063 run (currently needing several days to run them all), and even then we
1064 cannot be absolutely certain that all possible combinations of input have
1065 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1066 it is simply impossible to even try.
1067
1068 This is where formal proofs come into play.
1069
1070 Samuel illustrated to us that "ordinary" unit tests can then be written
1071 to *augment* the formal ones, serving the purpose of illustrating how
1072 to use the module, more than anything.
1073
1074 However it is appreciated that writing formal proofs is a bit of a
1075 black art. This is where team collaboration particularly kicks in,
1076 so if you need help, ask on the mailing list.
1077
1078 ## Don't comment out unit tests: add them first (as failures) and fix code later
1079
1080 Unit tests serve an additional critical purpose of keeping track of code
1081 that needs to be written. In many cases, you write the unit test *first*,
1082 despite knowing full well that the code doesn't even exist or is completely
1083 broken. The unit test then serves as a constant and important reminder
1084 to actually fix (or write) the code.
1085
1086 Therefore, *do not* comment out unit tests just because they "don't work".
1087 If you absolutely must stop a unit test from running, **do not delete it**.
1088 Simply mark it with an appropriate
1089 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1090 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1091 with further details as to why the unit test should not be run.
1092
1093 # TODO Tutorials
1094
1095 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1096
1097 * Robert Baruch's nmigen tutorials look really good:
1098 <https://github.com/RobertBaruch/nmigen-tutorial>
1099 * Although a verilog example this is very useful to do
1100 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1101 * This tutorial looks pretty good and will get you started
1102 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1103 and walks not just through simulation, it takes you through using
1104 gtkwave as well.
1105 * There exist several nmigen examples which are also executable
1106 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1107 described in the above tutorial (python3 filename.py -h)
1108 * More nmigen tutorials at [[learning_nmigen]]