HDL_workflow.mdwn: Fixed link
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 one.
137
138 ### Why raise issues
139
140 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
141
142 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
143 please raise a bug report!
144 Bug reports allow tracking of issues, both to make the developers lives easier,
145 as well as for tracking completed grant-funded work.
146
147 ####How to raise issues
148
149 1. Create a bug report.
150 2. Add in any links from the mailing list or IRC logs to the bug report for back tracking
151 (this is mandatory). Also fill in the URL field if there is a relevant wiki page.
152 3. CC in relevant team members
153 4. make absolutely sure to fill in "blocks", "depends on" or "see also" so that the
154 bug is not isolated (otherwise bugs are too hard to find if isolated from everything else)
155 5. Ping on IRC to say a bug has been created
156 6. Unless you know exactly which milestone to use, leave blank initially. This
157 also applies when editing milestone, budget parent/child, toml fields. See
158 section [[HDL_workflow#Task management guidelines]] further down.
159 7. After setting the milestone, it is **absolutely required** to run
160 [budget-sync](https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD),
161 as it will point out any discrepancies. The budget allocations will be used for
162 accounting purposes and **MUST** be correct. *Note you can only get paid for
163 stuff done **after the nlnet grant is approved** (before the MOU is signed)*
164
165 ## ikiwiki
166
167 Runs the main libre-soc.org site (including this page). effective,
168 stunningly light on resources, and uses a git repository not a database.
169 That means it can be edited offline.
170
171 Usual deal: register an account and you can start editing and contributing
172 straight away.
173
174 Hint: to create a new page, find a suitable page that would link to it,
175 first, then put the link in of the page you want to create, as if the
176 page already exists. Save that page, and you will find a question mark
177 next to the new link you created. click that link, and it will fire up a
178 "create new page" editor.
179
180 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
181
182 Hint again: the wiki is backed by a git repository. Don't go overboard
183 but at the same time do not be afraid that you might "damage" or "lose"
184 pages. Although it would be a minor pain, the pages can always be
185 reverted or edited by the sysadmins to restore things if you get in a tiz.
186
187 Assistance in creating a much better theme greatly appreciated. e.g.
188 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
189
190 ## git
191
192 We use git. More on this below. We also use
193 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
194 again, it is extremely effective and low resource utilisation. Reminder:
195 lions are involved if github is mentioned.
196
197 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
198 does a decent job. <https://git.libre-soc.org/>
199
200 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
201 tracks changes to files so that previous versions can be got back or
202 compared.
203
204 Checklist page [[HDL_workflow/git_checklist]]
205
206 ## ftp server
207
208 <https://ftp.libre-soc.org/> is available for storing large files
209 that do not belong in a git repository, if we have (or ever need)
210 any. Images (etc.) if small and appropriate should go into the
211 wiki, however .tgz archives (etc.) and, at some point, binaries,
212 should be on the ftp server.
213
214 Ask on the list if you have a file that belongs on the ftp server.
215
216 ## server
217
218 As an aside: all this is "old school" and run on a single core 512MB
219 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
220 mythic-beasts and means that the project is in no way dependent on anyone
221 else - not microsoft, not google, not facebook, not amazon.
222
223 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
224 don't ask to replace the above extremely resource-efficient services
225 with it.
226
227 # Hardware
228
229 RAM is the biggest requirement. Minimum 16GB, the more the better (32
230 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
231 critical: 256GB SSD should be more than adequate. Simulations and
232 FPGA compilations however are where raw processing power is a must.
233 High end Graphics Cards are nonessential.
234
235 What is particularly useful is to have hi-res screens (curved is
236 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
237 going "prism" through long term use), and to have several of them: the
238 more the better. Either a DisplayLink UD160A (or more modern variant)
239 or simply using a second machine (lower spec hardware because it will
240 run editors) is really effective.
241
242 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
243 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
244 than 16:9 particularly when using several of them. However, caveat
245 (details below): please when editing do not assume that everyone will
246 have access to such high resolution screens.
247
248 # Operating System
249
250 First install and become familiar with
251 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
252 if you absolutely
253 must) for standardisation cross-team and so that toolchain installation
254 is greatly simplified. yosys in particular warns that trying to use
255 Windows, BSD or MacOS will get you into a world of pain.
256
257 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
258 sufficient (alongside wicd-gtk for network management). Other more
259 complex desktops can be used however may consume greater resources.
260
261 # editors and editing
262
263 Whilst this is often a personal choice, the fact that many editors are
264 GUI based and run full-screen with the entire right hand side *and* middle
265 *and* the majority of the left side of the hi-res screen entirely unused
266 and bereft of text leaves experienced developers both amused and puzzled.
267
268 At the point where such full-screen users commit code with line lengths
269 well over 160 characters, that amusement quickly evaporates.
270
271 Where the problems occur with full-screen editor usage is when a project
272 is split into dozens if not hundreds of small files (as this one is). At
273 that point it becomes pretty much essential to have as many as six to
274 eight files open *and on-screen* at once, without overlaps i.e. not in
275 hidden tabs, next to at least two if not three additional free and clear
276 terminals into which commands are regularly and routinely typed (make,
277 git commit, nosetests3 etc). Illustrated with the following 3840x2160
278 screenshot (click to view full image), where *every one* of those 80x70
279 xterm windows is *relevant to the task at hand*.
280
281 [[!img 2020-01-24_11-56.png size=640x ]]
282
283 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
284 additionally requiring a mouse click, can save a huge amount of cumulative
285 development time here, switching between editor terminal(s) and the
286 command terminals).
287
288 Once this becomes necessary, it it turn implies that having greater
289 than 80 chars per line - and running editors full-screen - is a severe
290 hinderance to an essential *and highly effective* workflow technique.
291
292 Additionally, care should be taken to respect that not everyone will have
293 200+ column editor windows and the eyesight of a hawk. They may only have
294 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
295 Consequently, having excessively long functions is also a hindrance to
296 others, as such developers with limited screen resources would need to
297 continuously page-up and page-down to read the code even of a single
298 function, in full.
299
300 This helps explain in part, below, why compliance with
301 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
302 In short: not everyone has the same "modern" GUI workflow or has access
303 to the same computing resources as you, so please do respect that.
304
305 More on this concept is
306 [here](https://www.linuxjournal.com/content/line-length-limits).
307 Note *very pointedly* that Linus Torvalds *specifically* states that
308 he does not want Linux kernel development to become the exclusive
309 domain of the "wealthy". That means **no** to assumptions about
310 access to ultra-high resolution screens.
311
312 # Software prerequisites<a name="software-prerequisites"></a>
313
314 **Please make sure if you install manually that you install dependencies
315 in strict order. Failing to adhere to this will result in pip3 downloading
316 unauthorised older software versions. See
317 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
318
319 Whilst many resources online advocate "`sudo`" in front of all root-level
320 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
321 root prompt, and save yourself some typing.
322
323 * sudo bash
324 * apt-get install vim exuberant-ctags
325 * apt-get install build-essential
326 * apt-get install git python3.7 python3.7-dev python3-nose
327 * apt-get install graphviz xdot gtkwave
328 * apt-get install python3-venv
329 * apt-get install python-virtualenv # this is an alternative to python3-venv
330 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
331 * return to user prompt (ctrl-d)
332
333 (The above assumes that you are running Debian.)
334
335 This will get you python3 and other tools that are
336 needed. [graphviz](https://graphviz.org/) is essential
337 for showing the interconnections between cells, and
338 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
339
340 If you would like to save yourself a lot more typing, check out the
341 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
342 repository, examine the scripts there and use them to automate much of
343 the process below.
344
345 If you would like just to install only the apt dependencies use
346 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
347
348 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
349
350 ## git
351
352 Look up good tutorials on how to use git effectively. There are so many
353 it is hard to recommend one. This is however essential. If you are not
354 comfortable with git, and you let things stay that way, it will seriously
355 impede development progress.
356
357 If working all day you should expect to be making at least two commits per
358 hour, so should become familiar with it very quickly. If you are *not*
359 doing around 2 commits per hour, something is wrong and you should read
360 the workflow instructions below more carefully, and also ask for advice
361 on the mailing list.
362
363 Worth noting: *this project does not use branches*. All code is committed
364 to master and we *require* that it be either zero-impact additions or that
365 relevant unit tests pass 100%. This ensures that people's work does not
366 get "lost" or isolated and out of touch due to major branch diversion,
367 and that people communicate and coordinate with each other.
368
369 This is not a hard rule: under special cirmstances branches can be useful.
370 They should not however be considered "routine".
371
372 For advice on commit messages see
373 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
374 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
375
376 ## yosys
377
378 Follow the source code (git clone) instructions here, do **not** use
379 the "stable" version (do not download the tarball):
380 <https://github.com/YosysHQ/yosys>
381
382 Or, alternatively, use the
383 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
384 script (which also installs symbiyosys and its dependencies)
385
386 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
387 evolving and frequently interacts with yosys.
388
389 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
390 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
391 language.
392 RTL [Register Transfer
393 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
394 models how data moves between
395 [registers](https://en.wikipedia.org/wiki/Hardware_register).
396
397 ## symbiyosys
398
399 To install follow the [instructions
400 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
401 Once done look at [A simple BMC
402 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
403
404 You do not have to install all of those (avy, boolector can be left
405 out if desired) however the more that are installed the more effective
406 the formal proof scripts will be (less resource utilisation in certain
407 circumstances).
408
409 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
410 front-end driver program for Yosys-based formal hardware verification
411 flows.
412
413 ## nmigen (TM)
414
415 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
416
417 **PLEASE NOTE: it is critical to install nmigen as the first dependency
418 prior to installing any further python-based Libre-SOC HDL repositories.
419 If "pip3 list" shows that nmigen has been auto-installed please remove it**
420
421 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
422
423 * mkdir ~/src
424 * cd !$
425 * git clone https://gitlab.com/nmigen/nmigen.git
426 * cd nmigen
427 * sudo bash
428 * python3 setup.py develop
429 * ctrl-d
430
431 Testing can then be carried out with "python3 setup.py test"
432
433 nmigen is a Python toolbox for building complex digital hardware.
434
435 ## Softfloat and sfpy
436
437 These are a test suite dependency for the
438 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
439 library, and will be changed in the future to use Jacob's
440 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
441 In the meantime, sfpy can be built as follows:
442
443 git clone --recursive https://github.com/billzorn/sfpy.git
444 cd sfpy
445 cd SoftPosit
446 git apply ../softposit_sfpy_build.patch
447 git apply /path/to/ieee754fpu/SoftPosit.patch
448 cd ../berkely-softfloat-3
449 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
450 # it contains the same changes as this one
451 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
452 cd ..
453
454 # prepare a virtual environment for building
455 python3 -m venv .env
456
457 # or, if you prefer the old way:
458 # virtualenv -p python3 .env
459
460 # install dependencies
461 source .env/bin/activate
462 pip3 install --upgrade -r requirements.txt
463
464 # build
465 make lib -j$(nproc)
466 make cython
467 make inplace -j$(nproc)
468 make wheel
469
470 # install
471 deactivate # deactivates venv, optional
472 pip3 install dist/sfpy*.whl
473
474 You can test your installation by doing the following:
475
476 python3
477 >>> from sfpy import Posit8
478 >>> Posit8(1.3)
479
480 It should print out `Posit8(1.3125)`
481
482 ## qemu, cross-compilers, gdb
483
484 As we are doing POWER ISA, POWER ISA compilers, toolchains and
485 emulators are required.
486 Again, if you want to save yourself some typing, use the dev scripts.
487 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
488 script will install the qemu;
489 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
490 script will install the toolchain and the corresponding debugger.
491 The steps are provided below only for reference; when in doubt,
492 consider checking and running the scripts.
493
494 Install powerpc64 gcc:
495
496 apt-get install gcc-8-powerpc64-linux-gnu
497
498 Install qemu:
499
500 apt-get install qemu-system-ppc
501
502 Install gdb from source. Obtain the required tarball matching
503 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
504 unpack it, then:
505
506 cd gdb-8.3 (or other location)
507 mkdir build
508 cd build
509 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
510 make -j$(nproc)
511 make install
512
513 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
514 programs. [qemu](https://www.qemu.org/) emulates processors, you can
515 run programs under qemu.
516
517 ## power-instruction-analyzer (pia)
518
519 We have a custom tool built in Rust by programmerjake to help analyze
520 the OpenPower instructions' execution on *actual* hardware.
521
522 Install Rust:
523
524 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
525
526 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
527
528 rustup default stable
529 rustup update
530
531 Install the Python extension from git source by doing the following:
532
533 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
534 cd pia
535 ./libre-soc-install.sh
536
537 ## Chips4Makers JTAG
538
539 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
540 interface, instead require a full complete independent implementation
541 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
542 superb and well-written. The Libre-SOC version includes DMI (Debug
543 Memory Interface):
544
545 git clone https://git.libre-soc.org/git/c4m-jtag.git/
546 cd c4m-jtag
547 python3 setup.py develop
548
549 Included is an IDCODE tap point, Wishbone Master (for direct memory read
550 and write, fully independent of the core), IOPad redirection and testing,
551 and general purpose shift register capability for any custom use.
552
553 We added a DMI to JTAG bridge in LibreSOC which is
554 directly connected to the core, to access registers and
555 to be able to start and stop the core and change the PC.
556 In combination with the JTAG Wishbone interface the test
557 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
558 can have a bootloader uploaded directly into onboard
559 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
560 execution begun.
561
562 [Chips4Makers](https://chips4makers.io/) make it possible for makers
563 and hobbyists to make their own open source chips.
564
565 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
566 an industry standard for verifying designs and testing printed circuit
567 boards after manufacture.
568
569 The [Wishbone
570 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
571 source hardware computer bus intended to let the parts of an integrated
572 circuit communicate with each other.
573
574 ## Coriolis2
575 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
576
577 ## Nextpnr
578
579 A portable FPGA place and route tool.
580
581 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
582 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
583 and the Lattice VERSA_ECP5.
584
585 ## Nextpnr-xilinx
586
587 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
588
589 One of the ways to get Arty A7 100t Digilent FPGA board working.
590
591 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
592
593
594 ## Verilator
595
596 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
597
598 Advise use only v4.106 at the moment.
599
600 See [[HDL_workflow/verilator]] page for installation instructions.
601
602 ## GHDL
603
604 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
605
606 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
607
608 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
609
610 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
611
612 See [[HDL_workflow/ghdl]] page for installation instructions.
613
614 ## Icarus Verilog
615
616 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
617
618 See [[HDL_workflow/iverilog]] page for installation instructions.
619
620 ## Cocotb
621
622 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
623
624 See [[HDL_workflow/cocotb]] page for installation instructions.
625
626 ## Symbiflow
627
628 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
629
630 One way to get the Arty A7 100t Digilent FPGA board working.
631
632 See [[HDL_workflow/symbiflow]] for installation instructions
633 and dependencies.
634
635 ## FPGA/Board Boot-Loaders-Programmers
636
637 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
638 OrangeCrab.
639
640 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
641 xc3sprog and ecpprog are going to be used.
642
643 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
644
645 ## ls2 peripheral fabric
646
647 [[HDL_workflow/ls2]]
648
649 # Registering for git repository access<a name="gitolite3_access"></a>
650
651 After going through the onboarding process and having agreed to take
652 responsibility for certain tasks, ask on the mailing list for git
653 repository access, sending in a public key (`id_rsa.pub`). If you do
654 not have one then generate it with `ssh-keygen -t rsa`. You will find it
655 in `~/.ssh`
656
657 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
658 account of being public, is perfectly fine to make... err... public.
659
660 Create a file `~/.ssh/config` with the following lines:
661
662 Host git.libre-soc.org
663 Port 922
664
665 Test that you have access with this command:
666
667 ssh -v -p922 gitolite3@git.libre-soc.org
668
669 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
670 port-scanning, and detection of password failures are used to instantly
671 ban IP addresses.
672
673 Wait for the Project Admin to confirm that the ssh key has been added
674 to the required repositories. Once confirmed, you can clone any of the
675 repos at https://git.libre-soc.org/:
676
677 git clone gitolite3@git.libre-soc.org:REPONAME.git
678
679 Alternatively, the .ssh/config can be skipped and this used:
680
681 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
682
683 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
684 fail2ban is running and, due to repeated persistent port-scanning spammers
685 is set up to instantly ban any unauthorised ssh access for up to two weeks.
686 This keeps log file sizes down on the server (which is resource-constrained).
687 If you are wondering why this is done, it's a *lot* of port-scans.
688
689 Therefore, *only* ssh in to server with the gitolite3 account, *only*
690 on port 922, and *only* once the systems administrator has given you
691 the all-clear that the ssh key has been added.
692
693 # git configuration
694
695 Although there are methods online which describe how (and why) these
696 settings are normally done, honestly it is simpler and easier to open
697 ~/.gitconfig and add them by hand.
698
699 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
700 files they don't become a pain. pull.rebase is something that is greatly
701 preferred for this project because it avoids the mess of "multiple
702 extra merge git tree entries", and branch.autosetuprebase=always will,
703 if you want it, always ensure that a new git checkout is set up with rebase.
704
705 [core]
706 autocrlf = input
707 [push]
708 default = simple
709 [pull]
710 rebase = true
711 [branch]
712 autosetuprebase = always
713
714 # Checking out the HDL repositories
715
716 Before running the following, install the
717 dependencies. This is easiest done with this script
718 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
719
720 **It is critically important to install these in STRICT order, otherwise
721 pip3 interferes and performs unauthorised downloads without informing
722 you of what it is doing**.
723
724 * mkdir ~/src
725 * cd !$
726 * git clone https://gitlab.com/nmigen/nmigen
727 * git clone https://gitlab.com/nmigen/nmigen-boards
728 * git clone https://gitlab.com/nmigen/nmigen-soc
729 * git clone https://gitlab.com/nmigen/nmigen-stdio
730 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
731 * git clone gitolite3@git.libre-soc.org:nmutil.git
732 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
733 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
734 * git clone gitolite3@git.libre-soc.org:soc.git
735
736 In each of these directories, **in the order listed**, track down the
737 `setup.py` file, then, as root (`sudo bash`), run the following:
738
739 * python3 setup.py develop
740
741 The reason for using "develop" mode is that the code may be edited
742 in-place yet still imported "globally". There are variants on this theme
743 for multi-user machine use however it is often just easier to get your
744 own machine these days.
745
746 The reason for the order is because soc depends on ieee754fpu, and
747 ieee754fpu depends on nmutil. If you do not follow the listed order
748 pip3 will go off and download an arbitrary version without your
749 consent.
750
751 If "`python3 setup.py install`" is used it is a pain: edit, then
752 install. edit, then install. It gets extremely tedious, hence why
753 "develop" was created.
754
755 If you prefer you can use this script instead: of course you checked it
756 in advance and accept full responsibility.
757 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
758
759 # Development Rules
760
761 Team communication:
762
763 * new members, add yourself to the [[about_us]] page and create yourself
764 a home page using someone else's page as a template.
765 * communicate on the mailing list or the bugtracker an intent to take
766 responsibility for a particular task.
767 * assign yourself as the bug's owner
768 * *keep in touch* about what you are doing, and why you are doing it.
769 * edit your home page regularly, particularly to track tasks so that
770 they can be paid by NLNet.
771 * if you cannot do something that you have taken responsibility for,
772 then unless it is a dire personal emergency please say so, on-list. we
773 won't mind. we'll help sort it out.
774
775 Regarding the above it is important that you read, understand, and agree
776 to the [[charter]] because the charter is about ensuring that we operate
777 as an effective organisation. It's *not* about "setting rules and meting
778 out punishment".
779
780 ## Coding
781
782 for actual code development
783
784 ### Plan unit tests
785
786 * plan in advance to write not just code but a full test suite for
787 that code. **this is not optional**. large python projects that do not
788 have unit tests **FAIL** (see separate section below).
789 * Prioritise writing formal proofs and a single clear unit test that is more
790 like a "worked example".
791 We receive NLNet funds for writing formal proofs, plus they
792 cover corner cases and take far less time to write
793
794 ### Commit tested or zero-dependent code
795
796 * only commit code that has been tested (or is presently unused). other
797 people will be depending on you, so do take care not to screw up.
798 not least because, as it says in the [[charter]] it will be your
799 responsibility to fix. that said, do not feel intimidated: ask for help
800 and advice, and you'll get it straight away.
801
802 ### Commit often
803
804 * commit often. several times a day, and "git push" it. this is
805 collaboration. if something is left even overnight uncommitted and not
806 pushed so that other people can see it, it is a red flag.
807 * if you find
808 yourself thinking "i'll commit it when it's finished" or "i don't want to
809 commit something that people might criticise" *this is not collaboration*,
810 it is making yourself a bottleneck. pair-programming is supposed to help
811 avoid this kind of thing however pair-programming is difficult to organise
812 for remote collaborative libre projects (suggestions welcomed here)
813
814 ### Enable editor auto-detection of file changes by external programs
815
816 This is important. "`git pull`" will merge in changes. If you then
817 arbitrarily save a file without re-loading it, you risk destroying
818 other people's work.
819
820 You can avoid damaging the repositories by following some simple procedures:
821
822 run appropriate unit tests
823 git pull
824 run appropriate unit tests again (checks other people's work)
825 git diff # and actually read and review the output
826 git status # check for any missing files
827 git commit # with appropriate arguments and message
828 git push # always always always do this
829
830 ### Absolutely no auto-generated output
831
832 * **do not commit autogenerated output**. write a shell script and commit
833 that, or add a `Makefile` to run the command that generates the output, but
834 **do not** add the actual output of **any** command to the repository.
835 ever. this is really important. even if it is a human-readable file
836 rather than a binary object file.
837 * it is very common to add PDFs (the result of running `latex2pdf`) or
838 configure.in (the result of running `automake`), they are an absolute
839 nuisance and interfere hugely with git diffs, as well as waste hard
840 disk space *and* network bandwidth. don't do it.
841 * do not add multi-megabyte or multi-gigabyte "test data".
842 use shell scripts and commit that, which automatically downloads the
843 "test data" from a well-known known-good reliable location instead.
844
845 ### Write commands that do tasks and commit those
846
847 * if the command needed to create any given autogenerated output is not
848 currently in the list of known project dependencies, first consult on
849 the list if it is okay to make that command become a hard dependency of
850 the project (hint: java, node.js php and .NET commands may cause delays
851 in response time due to other list participants laughing hysterically),
852 and after a decision is made, document the dependency and how its source
853 code is obtained and built (hence why it has to be discussed carefully)
854 * if you find yourself repeating commands regularly, chances are high
855 that someone else will need to run them, too. clearly this includes
856 yourself, therefore, to make everyone's lives easier including your own,
857 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
858 the repository and document them at the very minimum in the README,
859 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
860 ask on the mailing list for advice.
861
862 ### Keep commits single-purpose
863
864 * edit files making minimal *single purpose* modifications (even if
865 it involves multiple files. Good extreme example: globally changing
866 a function name across an entire codebase is one purpose, one commit,
867 yet hundreds of files. miss out one of those files, requiring multiple
868 commits, and it actually becomes a nuisance).
869
870 ### Run unit tests prior to commits
871
872 * prior to committing make sure that relevant unit tests pass, or that
873 the change is a zero-impact addition (no unit tests fail at the minimum)
874
875 ### Do not break existing code
876
877 * keep working code working **at all times**. find ways to ensure that
878 this is the case. examples include writing alternative classes that
879 replace existing functionality and adding runtime options to select
880 between old and new code.
881
882 ### Small commits with relevant commit message
883
884 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
885 (no "added this" or "changed that").
886 * if as you write you find that the commit message involves a *list* of
887 changes or the word "and", then STOP. do not proceed: it is a "red flag"
888 that the commit has not been properly broken down into separate-purpose
889 commits. ask for advice on-list on how to proceed.
890
891 ### Exceptions to small commit: atomic single purpose commit
892
893 * if it is essential to commit large amounts of code, ensure that it
894 is **not** in use **anywhere** by any other code. then make a *small*
895 (single purpose) followup commit which actually puts that code into use.
896
897 This last rule is kinda flexible, because if you add the code *and* add
898 the unit test *and* added it into the main code *and* ran all relevant
899 unit tests on all cascade-impacted areas by that change, that's perfectly
900 fine too. however if it is the end of a day, and you need to stop and
901 do not have time to run the necessary unit tests, do *not* commit the
902 change which integrates untested code: just commit the new code (only)
903 and follow up the next day *after* running the full relevant unit tests.
904
905 ### Why such strict rules?
906
907 The reason for all the above is because python is a dynamically typed
908 language. make one tiny change at the base level of the class hierarchy
909 and the effect may be disastrous.
910
911 It is therefore worth reiterating: make absolutely certain that you *only*
912 commit working code or zero-impact code.
913
914 Therefore, if you are absolutely certain that a new addition (new file,
915 new class, new function) is not going to have any side-effects, committing
916 it (a large amount of code) is perfectly fine.
917
918 As a general rule, however, do not use this an an excuse to write code
919 first then write unit tests as an afterthought. write *less* code *in
920 conjunction* with its (more basic) unit tests, instead. then, folliw up with
921 additions and improvements.
922
923 The reason for separating out commits to single purpose only becomes
924 obvious (and regretted if not followed) when, months later, a mistake
925 has to be tracked down and reverted. if the commit does not have an
926 easy-to-find message, it cannot even be located, and once found, if the
927 commit confuses several unrelated changes, not only the diff is larger
928 than it should be, the reversion process becomes extremely painful.
929
930 ### PHP-style python format-strings
931
932 As the name suggests, "PHP-style" is not given as a compliment.
933 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
934 to read. The lesson from PHP, Zope and Plone: when code is embedded,
935 the purpose of the formatting - the separation of the format from
936 the data to be placed in it - is merged, and consequently become
937 unreadable.
938
939 By contrast, let us imagine a situation where 12 variables need to
940 be inserted into a string, four of which are the same variablename:
941
942 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
943 var3, var4, var2,
944 var1, var9, var1,
945 var3, var4, var1)
946
947 This is just as unreadable, but for different reasons. Here it *is*
948 useful to do this as:
949
950 x = f"{var1} {var2} {var3}" \
951 ...
952 f"{var3} {var4} {var1}"
953
954 As a general rule, though, format-specifiers should be strongly
955 avoided, given that they mix even variable-names directly inside
956 a string.
957
958 This additionally gives text editors (and online web syntax
959 highlighters) the opportunity to colour syntax-highlight the
960 ASCII string (the format) from the variables to be inserted *into*
961 that format. gitweb for example (used by this project) cannot
962 highlight string-formatted code.
963
964 It turns out that colour is processed by the **opposite** hemisphere
965 of the brain from written language. Thus, colour-syntax-highlighting
966 is not just a "nice-to-have", it's **vital** for easier and faster
967 identification of context and an aid to rapid understanding.
968
969 Anything that interferes with that - such as python format-strings -
970 has to take a back seat, regardless of its perceived benefits.
971
972 **If you absolutely must** use python-format-strings, **only** do
973 so by restricting to variables. Create temporary variables if you
974 have to.
975
976 y = '/'.join(a_list)
977 x = f"{y}"
978
979 ### PEP8 format
980
981 * all code needs to conform to pep8. use either pep8checker or better
982 run autopep8. however whenever committing whitespace changes, *make a
983 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
984 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
985 you think you need greater than 80 chars, it *fundamentally* indicates
986 poor code design. split the code down further into smaller classes
987 and functions.
988
989 ### Docstring checker
990
991 * TBD there is a docstring checker. at the minimum make sure to have
992 an SPD license header, module header docstring, class docstring and
993 function docstrings on at least non-obvious functions.
994
995 ### Clear code commenting and docstrings
996
997 * make liberal but not excessive use of comments. describe a group of
998 lines of code, with terse but useful comments describing the purpose,
999 documenting any side-effects, and anything that could trip you or other
1000 developers up. unusual coding techniques should *definitely* contain
1001 a warning.
1002
1003 ### Only one class per module (ish)
1004
1005 * unless they are very closely related, only have one module (one class)
1006 per file. a file only 25 lines long including imports and docstrings
1007 is perfectly fine however don't force yourself. again, if unsure,
1008 ask on-list.
1009
1010 ### File and Directory hierarchy
1011
1012 * *keep files short and simple*. see below as to why
1013 * create a decent directory hierarchy but do not go mad. ask for advice
1014 if unsure
1015
1016 ### No import star!
1017
1018 * please do not use "from module import \*". it is extremely bad practice,
1019 causes unnecessary resource utilisation, makes code readability and
1020 tracking extremely difficult, and results in unintended side-effects.
1021
1022 Example: often you want to find the code from which a class was imported.
1023 nirmally you go to the top of the file, check the imports, and you know
1024 exactly which file has the class because of the import path. by using
1025 wildcards, you have absolutely *no clue* which wildcard imported which
1026 class or classes.
1027
1028 Example: sometimes you may accidentally have duplicate code maintained
1029 in two or more places. editing one of them you find, puzzlingly, that
1030 the code behaves in some files with the old behaviour, but in others it
1031 works. after a massive amount of investigation, you find that the working
1032 files happen to have a wildcard import of the newer accidental duplicate
1033 class **after** the wildcard import of the older class with exactly the
1034 same name. if you had used explicit imports, you would have spotted
1035 the double import of the class from two separate locations, immediately.
1036
1037 Really. don't. use. wildcards.
1038
1039 More about this here:
1040
1041 * <https://www.asmeurer.com/removestar/>
1042 * <https://rules.sonarsource.com/python/RSPEC-2208>
1043
1044 ### Keep file and variables short but clear
1045
1046 * try to keep both filenames and variable names short but not ridiculously
1047 obtuse. an interesting compromise on imports is "from ridiculousfilename
1048 import longsillyname as lsn", and to assign variables as well: "comb =
1049 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1050 that can reduce code indentation by 6 characters without reducing clarity.
1051
1052 Additionally, use comments just above an obtuse variable in order to
1053 help explain what it is for. In combination with keeping the the module
1054 itself short, other readers will not need to scroll back several pages
1055 in order to understand the code.
1056
1057 Yes it is tempting to actually use the variables as
1058 self-explanatory-comments and generally this can be extremely good
1059 practice. the problem comes when the variable is so long that a function
1060 with several parameters csn no longer fit on a single line, and takes
1061 up five to ten lines rather than one or two. at that point, the length
1062 of the code is adversely affected and thus so is readability by forcing
1063 readers to scroll through reams of pages.
1064
1065 It is a tricky balance: basically use your common sense, or just ask
1066 someone else, "can you understand this code?"
1067
1068 ### Reasons for code structure
1069
1070 Regarding code structure: we decided to go with small modules that are
1071 both easy to analyse, as well as fit onto a single page and be readable
1072 when displayed as a visual graph on a full UHD monitor. this is done
1073 as follows:
1074
1075 * using the capability of nmigen (TODO crossref to example) output the
1076 module to a yosys ilang (.il) file
1077 * in a separate terminal window, run yosys
1078 * at the yosys prompt type "read_ilang modulename.il"
1079 * type "show top" and a graphviz window should appear. note that typing
1080 show, then space, then pressing the tab key twice will give a full list
1081 of submodules (one of which will be "top")
1082
1083 You can now fullsize the graphviz window and scroll around. if it looks
1084 reasonably obvious at 100% zoom, i.e the connections can be clearly
1085 related in your mind back to the actual code (by matching the graph names
1086 against signals and modules in the original nmigen code) and the words are
1087 not tiny when zoomed out, and connections are not total incomprehensible
1088 spaghetti, then congratulations, you have well-designed code. If not,
1089 then this indicates a need to split the code further into submodules
1090 and do a bit more work.
1091
1092 The reasons for doing a proper modularisation job are several-fold:
1093
1094 * firstly, we will not be doing a full automated layout-and-hope
1095 using alliance/coriolis2, we will be doing leaf-node thru tree node
1096 half-automated half-manual layout, finally getting to the floorplan,
1097 then revising and iteratively adjusting.
1098 * secondly, examining modules at the gate level (or close to it) is just
1099 good practice. poor design creeps in by *not* knowing what the tools
1100 are actually doing (word to experienced developers: yes, we know that
1101 the yosys graph != final netlist).
1102 * thirdly, unit testing, particularly formal proofs, is far easier on
1103 small sections of code, and complete in a reasonable time.
1104
1105 ## Special warning / alert to vim users!
1106
1107 Some time around the beginning of 2019 some bright spark decided that
1108 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1109 idea to enable by default from that point onwards.
1110
1111 This incredibly annoying "feature" results in tabs (or spaces) being
1112 inserted "on your behalf" when you press return on one line, for your
1113 "convenience" of not needing to type lots of spaces/tabs just to get
1114 to the same indentation level.
1115
1116 Of course, this "feature", if you press return on one line in edit
1117 mode and then press "escape", leaves a bundle-of-joy extraneous
1118 whitespace **exactly** where you don't want it, and didn't ask for it,
1119 pooped all over your file.
1120
1121 Therefore, *please*: **before** running "git commit", get into the
1122 habit of always running "git diff", and at the very minimum
1123 speed-skim the entire diff, looking for tell-tale "red squares"
1124 (these show up under bash diff colour-syntax-highlighting) that
1125 inform you that, without your knowledge or consent, vim has
1126 "helpfully" inserted extraneous whitespace.
1127
1128 Remove them **before** git committing because they are not part
1129 of the actual desired code-modifications, and committing them
1130 is a major and constant distraction for reviewers about actual
1131 important things like "the code that actually *usefully* was
1132 modified for that commit"
1133
1134 This has the useful side-effect of ensuring that, right before
1135 the commit, you've got the actual diff right in front of you
1136 in the xterm window, on which you can base the "commit message".
1137
1138 ## Unit tests
1139
1140 For further reading, see the wikipedia page on
1141 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1142
1143 This deserves its own special section. It is extremely important to
1144 appreciate that without unit tests, python projects are simply unviable.
1145 Python itself has over 25,000 individual tests.
1146
1147 This can be quite overwhelming to a beginner developer, especially one
1148 used to writing scripts of only 100 lines in length.
1149
1150 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1151 proof is not only shorter, it's also far more readable and also, if
1152 written properly, provides 100% coverage of corner-cases that would
1153 otherwise be overlooked or require tens to hundreds of thousands of
1154 tests to be run.
1155
1156 No this is not a joke or even remotely hypothetical, this is an actual
1157 real-world problem.
1158
1159 The ieee754fpu requires several hundreds of thousands of tests to be
1160 run (currently needing several days to run them all), and even then we
1161 cannot be absolutely certain that all possible combinations of input have
1162 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1163 it is simply impossible to even try.
1164
1165 This is where formal proofs come into play.
1166
1167 Samuel illustrated to us that "ordinary" unit tests can then be written
1168 to *augment* the formal ones, serving the purpose of illustrating how
1169 to use the module, more than anything.
1170
1171 However it is appreciated that writing formal proofs is a bit of a
1172 black art. This is where team collaboration particularly kicks in,
1173 so if you need help, ask on the mailing list.
1174
1175 ## Don't comment out unit tests: add them first (as failures) and fix code later
1176
1177 Unit tests serve an additional critical purpose of keeping track of code
1178 that needs to be written. In many cases, you write the unit test *first*,
1179 despite knowing full well that the code doesn't even exist or is completely
1180 broken. The unit test then serves as a constant and important reminder
1181 to actually fix (or write) the code.
1182
1183 Therefore, *do not* comment out unit tests just because they "don't work".
1184 If you absolutely must stop a unit test from running, **do not delete it**.
1185 Simply mark it with an appropriate
1186 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1187 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1188 with further details as to why the unit test should not be run.
1189
1190 # Task management guidelines
1191
1192 1. Create the task in appropriate "Product" section with appropriate
1193 "Component" section. Most code tasks generally use "Libre-SOC's
1194 first SOC".
1195 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1196 Also add as many related ("See Also") links to other bugreports
1197 as possible. bugreports are never isolated.
1198 3. Choose the correct task for a budget allocation. Usually the parent
1199 task is used.
1200 4. Choose the correct NLnet milestone. The best practice is to check
1201 the parent task for a correct milestone.
1202 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1203 corresponds to your username and "SUM" corresponds to the actual
1204 budget in EUR. There may be multiple users.
1205 6. When the task is completed, you can begin writing an RFP.
1206 **DO NOT submit it without explicit authorisation and review**.
1207 Leave out your bank and personal address details if you prefer
1208 when sending to the Team Manager for review.
1209 7. Once the RFP is written, notify the Team Manager and obtain their
1210 explicit approval to send it.
1211 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1212 field to include the submitted date:
1213 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1214 `YYYY-MM-DD` form.
1215 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1216 and update `"USER={amount=SUM, submitted=SDATE}"`
1217 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1218 entered in `YYYY-MM-DD` form, too.
1219
1220 Throughout all of this you should be using budget-sync to check the
1221 database consistency
1222 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1223
1224 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1225
1226 # TODO Tutorials
1227
1228 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1229
1230 * Robert Baruch's nmigen tutorials look really good:
1231 <https://github.com/RobertBaruch/nmigen-tutorial>
1232 * Although a verilog example this is very useful to do
1233 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1234 * This tutorial looks pretty good and will get you started
1235 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1236 and walks not just through simulation, it takes you through using
1237 gtkwave as well.
1238 * There exist several nmigen examples which are also executable
1239 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1240 described in the above tutorial (python3 filename.py -h)
1241 * More nmigen tutorials at [[learning_nmigen]]