(no commit message)
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 We will add an IRC channel at some point when there are enough people
44 to warrant having one (and it will be publicly archived)
45
46 Note also the lack of a "forum" in the above list. this is very
47 deliberate. forums are a serious distraction when it comes to technical
48 heavily goal-orientated development. recent internet users may enjoy
49 looking up the "AOL metoo postings" meme.
50
51 Note also the complete lack of "social platforms". if we wanted to tell
52 everybody how much better each of us are than anyone else in the team,
53 how many times we made a commit (look at me, look at me, i'm so clever),
54 and how many times we went to the bathroom, we would have installed a
55 social media based project "management" system.
56
57 ## Main contact method: mailing list
58
59 To respect the transparency requirements, conversations need to be
60 public and archived (i.e not skype, not telegram, not discord,
61 and anyone seriously suggesting slack will be thrown to the
62 lions). Therefore we have a mailing list. Everything goes through
63 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
64 therefore please do google "mailing list etiquette" and at the very
65 minimum look up and understand the following:
66
67 * This is a technical mailing list with complex topics. Top posting
68 is completely inappropriate. Don't do it unless you have mitigating
69 circumstances, and even then please apologise and explain ("hello sorry
70 using phone at airport flight soon, v. quick reply: ....")
71 * Always trim context but do not cut excessively to the point where people
72 cannot follow the discussion. Especially do not cut the attribution
73 ("On monday xxx wrote") of something that you are actually replying
74 to.
75 * Use inline replies i.e. reply at the point in the relevant part of
76 the conversation, as if you were actually having a conversation.
77 * Follow standard IETF reply formatting, using ">" for cascaded
78 indentation of other people's replies. If using gmail, please: SWITCH
79 OFF RICH TEXT EDITING.
80 * Please for god's sake do not use "my replies are in a different
81 colour". Only old and highly regarded people still using AOL are allowed
82 to get away with that (such as Mitch).
83 * Start a new topic with a relevant subject line. If an existing
84 discussion changes direction, change the subject line to reflect the
85 new topic (or start a new conversation entirely, without using the
86 "reply" button)
87 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
88 * Don't send massive attachments. Put them online (no, not on facebook or
89 google drive or anywhere else that demands privacy violations) and provide
90 the link. Which should not require any kind of login to access. ask the
91 listadmin if you don't have anywhere suitable: FTP access can be arranged.
92
93 ### Actionable items from mailing list
94
95 If discussions result in any actionable items, it is important not to
96 lose track of them. Create a bugreport, find the discussion in the
97 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
98 and put the link actually in the bugtracker as one of the comments.
99
100 At some point in any discussion, the sudden realisation may dawn on one
101 or more people that this is an "actionable" discussion. at that point
102 it may become better to use <https://bugs.libre-soc.org/>
103 itself to continue the discussion rather than to keep on dropping copies
104 of links into the bugtracker. The bugtracker sends copies of comments
105 *to* the list however this is 'one-way' (note from lkcl: because this
106 involves running an automated perl script from email, on every email,
107 on the server, that is a high security risk, and i'm not doing it. sorry.)
108
109 ### Mailing list != editable document store
110
111 Also, please do not use the mailing list as an "information or document
112 store or poor-man's editor" **including not sending large images**.
113 We have the wiki for that. Edit a page and
114 tell people what you did (summarise rather than drop the entire contents
115 at the list) and include the link to the page.
116
117 Or, if it is more appropriate, commit a document (or source code)
118 into the relevant git repository then look up the link in the gitweb
119 source tree browser and post that (in the bugtracker or mailing list)
120 See <https://git.libre-soc.org/>
121
122 ### gmail "spam"ifying the list
123
124 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
125
126 Basically it is possible to select any message from the list, create a
127 "filter" (under "More"), and, on the 2nd dialog box, click the "never
128 send this to Spam" option.
129
130 ## Bugtracker
131
132 bugzilla. old and highly effective. sign up in the usual way. any
133 problems, ask on the list.
134
135 Please do not ask for the project to be transferred to github or other
136 proprietary nonfree service "because it's soooo convenient", as the
137 lions are getting wind and gout from overfeeding on that one.
138
139 ## ikiwiki
140
141 Runs the main libre-soc.org site (including this page). effective,
142 stunningly light on resources, and uses a git repository not a database.
143 That means it can be edited offline.
144
145 Usual deal: register an account and you can start editing and contributing
146 straight away.
147
148 Hint: to create a new page, find a suitable page that would link to it,
149 first, then put the link in of the page you want to create, as if the
150 page already exists. Save that page, and you will find a question mark
151 next to the new link you created. click that link, and it will fire up a
152 "create new page" editor.
153
154 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
155
156 Hint again: the wiki is backed by a git repository. Don't go overboard
157 but at the same time do not be afraid that you might "damage" or "lose"
158 pages. Although it would be a minor pain, the pages can always be
159 reverted or edited by the sysadmins to restore things if you get in a tiz.
160
161 Assistance in creating a much better theme greatly appreciated. e.g.
162 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
163
164 ## git
165
166 We use git. More on this below. We also use
167 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
168 again, it is extremely effective and low resource utilisation. Reminder:
169 lions are involved if github is mentioned.
170
171 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
172 does a decent job. <https://git.libre-soc.org/>
173
174 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
175 tracks changes to files so that previous versions can be got back or
176 compared.
177
178 Checklist page [[HDL_workflow/git_checklist]]
179
180 ## ftp server
181
182 <https://ftp.libre-soc.org/> is available for storing large files
183 that do not belong in a git repository, if we have (or ever need)
184 any. Images (etc.) if small and appropriate should go into the
185 wiki, however .tgz archives (etc.) and, at some point, binaries,
186 should be on the ftp server.
187
188 Ask on the list if you have a file that belongs on the ftp server.
189
190 ## server
191
192 As an aside: all this is "old school" and run on a single core 512MB
193 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
194 mythic-beasts and means that the project is in no way dependent on anyone
195 else - not microsoft, not google, not facebook, not amazon.
196
197 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
198 don't ask to replace the above extremely resource-efficient services
199 with it.
200
201 # Hardware
202
203 RAM is the biggest requirement. Minimum 16GB, the more the better (32
204 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
205 critical: 256GB SSD should be more than adequate. Simulations and
206 FPGA compilations however are where raw processing power is a must.
207 High end Graphics Cards are nonessential.
208
209 What is particularly useful is to have hi-res screens (curved is
210 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
211 going "prism" through long term use), and to have several of them: the
212 more the better. Either a DisplayLink UD160A (or more modern variant)
213 or simply using a second machine (lower spec hardware because it will
214 run editors) is really effective.
215
216 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
217 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
218 than 16:9 particularly when using several of them. However, caveat
219 (details below): please when editing do not assume that everyone will
220 have access to such high resolution screens.
221
222 # Operating System
223
224 First install and become familiar with
225 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
226 if you absolutely
227 must) for standardisation cross-team and so that toolchain installation
228 is greatly simplified. yosys in particular warns that trying to use
229 Windows, BSD or MacOS will get you into a world of pain.
230
231 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
232 sufficient (alongside wicd-gtk for network management). Other more
233 complex desktops can be used however may consume greater resources.
234
235 # editors and editing
236
237 Whilst this is often a personal choice, the fact that many editors are
238 GUI based and run full-screen with the entire right hand side *and* middle
239 *and* the majority of the left side of the hi-res screen entirely unused
240 and bereft of text leaves experienced developers both amused and puzzled.
241
242 At the point where such full-screen users commit code with line lengths
243 well over 160 characters, that amusement quickly evaporates.
244
245 Where the problems occur with full-screen editor usage is when a project
246 is split into dozens if not hundreds of small files (as this one is). At
247 that point it becomes pretty much essential to have as many as six to
248 eight files open *and on-screen* at once, without overlaps i.e. not in
249 hidden tabs, next to at least two if not three additional free and clear
250 terminals into which commands are regularly and routinely typed (make,
251 git commit, nosetests3 etc). Illustrated with the following 3840x2160
252 screenshot (click to view full image), where *every one* of those 80x70
253 xterm windows is *relevant to the task at hand*.
254
255 [[!img 2020-01-24_11-56.png size=640x ]]
256
257 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
258 additionally requiring a mouse click, can save a huge amount of cumulative
259 development time here, switching between editor terminal(s) and the
260 command terminals).
261
262 Once this becomes necessary, it it turn implies that having greater
263 than 80 chars per line - and running editors full-screen - is a severe
264 hinderance to an essential *and highly effective* workflow technique.
265
266 Additionally, care should be taken to respect that not everyone will have
267 200+ column editor windows and the eyesight of a hawk. They may only have
268 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
269 Consequently, having excessively long functions is also a hindrance to
270 others, as such developers with limited screen resources would need to
271 continuously page-up and page-down to read the code even of a single
272 function, in full.
273
274 This helps explain in part, below, why compliance with
275 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
276 In short: not everyone has the same "modern" GUI workflow or has access
277 to the same computing resources as you, so please do respect that.
278
279 More on this concept is
280 [here](https://www.linuxjournal.com/content/line-length-limits).
281 Note *very pointedly* that Linus Torvalds *specifically* states that
282 he does not want Linux kernel development to become the exclusive
283 domain of the "wealthy". That means **no** to assumptions about
284 access to ultra-high resolution screens.
285
286 # Software prerequisites<a name="software-prerequisites"></a>
287
288 Whilst many resources online advocate "`sudo`" in front of all root-level
289 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
290 root prompt, and save yourself some typing.
291
292 * sudo bash
293 * apt-get install vim exuberant-ctags
294 * apt-get install build-essential
295 * apt-get install git python3.7 python3.7-dev python-nosetest3
296 * apt-get install graphviz xdot gtkwave
297 * apt-get install python3-venv
298 * apt-get install python-virtualenv # this is an alternative to python3-venv
299 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
300 * return to user prompt (ctrl-d)
301
302 (The above assumes that you are running Debian.)
303
304 This will get you python3 and other tools that are
305 needed. [graphviz](https://graphviz.org/) is essential
306 for showing the interconnections between cells, and
307 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
308
309 If you would like to save yourself a lot more typing, check out the
310 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
311 repository, examine the scripts there and use them to automate much of
312 the process below.
313
314 If you would like just to install only the apt dependencies use
315 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
316
317 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
318
319 ## git
320
321 Look up good tutorials on how to use git effectively. There are so many
322 it is hard to recommend one. This is however essential. If you are not
323 comfortable with git, and you let things stay that way, it will seriously
324 impede development progress.
325
326 If working all day you should expect to be making at least two commits per
327 hour, so should become familiar with it very quickly. If you are *not*
328 doing around 2 commits per hour, something is wrong and you should read
329 the workflow instructions below more carefully, and also ask for advice
330 on the mailing list.
331
332 Worth noting: *this project does not use branches*. All code is committed
333 to master and we *require* that it be either zero-impact additions or that
334 relevant unit tests pass 100%. This ensures that people's work does not
335 get "lost" or isolated and out of touch due to major branch diversion,
336 and that people communicate and coordinate with each other.
337
338 ## yosys
339
340 Follow the source code (git clone) instructions here, do **not** use
341 the "stable" version (do not download the tarball):
342 <http://www.clifford.at/yosys/download.html>
343
344 Or, alternatively, use the
345 [yosys-et-al](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=yosys-et-al;hb=HEAD)
346 script (which also installs symbiyosys and its dependencies)
347
348 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
349 evolving and frequently interacts with yosys.
350
351 [Yosys](http://www.clifford.at/yosys/) is a framework for Verilog RTL.
352 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
353 language.
354 RTL [Register Transfer
355 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
356 models how data moves between
357 [registers](https://en.wikipedia.org/wiki/Hardware_register).
358
359 ## symbiyosys
360
361 To install follow the [instructions
362 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
363 Once done look at [A simple BMC
364 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
365
366 You do not have to install all of those (avy, boolector can be left
367 out if desired) however the more that are installed the more effective
368 the formal proof scripts will be (less resource utilisation in certain
369 circumstances).
370
371 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
372 front-end driver program for Yosys-based formal hardware verification
373 flows.
374
375 ## nmigen
376
377 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
378
379 * mkdir ~/src
380 * cd !$
381 * git clone https://github.com/nmigen/nmigen.git
382 * cd nmigen
383 * sudo bash
384 * python3 setup.py develop
385 * ctrl-d
386
387 Testing can then be carried out with "python3 setup.py test"
388
389 nmigen is a Python toolbox for building complex digital hardware.
390
391 ## Softfloat and sfpy
392
393 These are a test suite dependency for the
394 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
395 library, and will be changed in the future to use Jacob's
396 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
397 In the meantime, sfpy can be built as follows:
398
399 git clone --recursive https://github.com/billzorn/sfpy.git
400 cd sfpy
401 cd SoftPosit
402 git apply ../softposit_sfpy_build.patch
403 git apply /path/to/ieee754fpu/SoftPosit.patch
404 cd ../berkely-softfloat-3
405 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
406 # it contains the same changes as this one
407 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
408 cd ..
409
410 # prepare a virtual environment for building
411 python3 -m venv .env
412
413 # or, if you prefer the old way:
414 # virtualenv -p python3 .env
415
416 # install dependencies
417 source .env/bin/activate
418 pip3 install --upgrade -r requirements.txt
419
420 # build
421 make lib -j$(nproc)
422 make cython
423 make inplace -j$(nproc)
424 make wheel
425
426 # install
427 deactivate # deactivates venv, optional
428 pip3 install dist/sfpy*.whl
429
430 You can test your installation by doing the following:
431
432 python3
433 >>> from sfpy import *
434 >>> Posit8(1.3)
435
436 It should print out `Posit8(1.3125)`
437
438 ## qemu, cross-compilers, gdb
439
440 As we are doing POWER ISA, POWER ISA compilers, toolchains and
441 emulators are required.
442 Again, if you want to save yourself some typing, use the dev scripts.
443 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
444 script will install the qemu;
445 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
446 script will install the toolchain and the corresponding debugger.
447 The steps are provided below only for reference; when in doubt,
448 consider checking and running the scripts.
449
450 Install powerpc64 gcc:
451
452 apt-get install gcc-8-powerpc64-linux-gnu
453
454 Install qemu:
455
456 apt-get install qemu-system-ppc
457
458 Install gdb from source. Obtain the required tarball matching
459 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
460 unpack it, then:
461
462 cd gdb-8.3 (or other location)
463 mkdir build
464 cd build
465 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
466 make -j$(nproc)
467 make install
468
469 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
470 programs. [qemu](https://www.qemu.org/) emulates processors, you can
471 run programs under qemu.
472
473 ## power-instruction-analyzer (pia)
474
475 We have a custom tool built in Rust by programmerjake to help analyze
476 the OpenPower instructions' execution on *actual* hardware.
477
478 Install Rust:
479
480 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
481
482 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
483
484 rustup default stable
485 rustup update
486
487 Install the Python extension from git source by doing the following:
488
489 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
490 cd pia
491 ./libre-soc-install.sh
492
493 ## Chips4Makers JTAG
494
495 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
496 interface, instead require a full complete independent implementation
497 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
498 superb and well-written. The Libre-SOC version includes DMI (Debug
499 Memory Interface):
500
501 git clone https://git.libre-soc.org/git/c4m-jtag.git/
502 cd c4m-jtag
503 python3 setup.py develop
504
505 Included is an IDCODE tap point, Wishbone Master (for direct memory read
506 and write, fully independent of the core), IOPad redirection and testing,
507 and general purpose shift register capability for any custom use.
508
509 We added a DMI to JTAG bridge in LibreSOC which is
510 directly connected to the core, to access registers and
511 to be able to start and stop the core and change the PC.
512 In combination with the JTAG Wishbone interface the test
513 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
514 can have a bootloader uploaded directly into onboard
515 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
516 execution begun.
517
518 [Chips4Makers](https://chips4makers.io/) make it possible for makers
519 and hobbyists to make their own open source chips.
520
521 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
522 an industry standard for verifying designs and testing printed circuit
523 boards after manufacture.
524
525 The [Wishbone
526 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
527 source hardware computer bus intended to let the parts of an integrated
528 circuit communicate with each other.
529
530 ## Coriolis2
531 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
532
533 ## Nextpnr
534
535 A portable FPGA place and route tool.
536
537 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series.
538
539 ## Verilator
540
541 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
542
543 Advise use only v4.106 at the moment.
544
545 See [[HDL_workflow/verilator]] page for installation instructions.
546
547 ## GHDL
548
549 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
550
551 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
552
553 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
554
555 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
556
557 See [[HDL_workflow/ghdl]] page for installation instructions.
558
559 ## Icarus Verilog
560
561 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
562
563 See [[HDL_workflow/iverilog]] page for installation instructions.
564
565 ## Cocotb
566
567 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
568
569 See [[HDL_workflow/cocotb]] page for installation instructions.
570
571 ## Symbiflow
572
573 needed for the Arty A7 100t Digilent FPGA board
574
575 See [[HDL_workflow/symbiflow]] for installation instructions
576 and dependencies
577
578 # Registering for git repository access<a name="gitolite3_access"></a>
579
580 After going through the onboarding process and having agreed to take
581 responsibility for certain tasks, ask on the mailing list for git
582 repository access, sending in a public key (`id_rsa.pub`). If you do
583 not have one then generate it with `ssh-keygen -t rsa`. You will find it
584 in `~/.ssh`
585
586 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
587 account of being public, is perfectly fine to make... err... public.
588
589 Create a file `~/.ssh/config` with the following lines:
590
591 Host git.libre-soc.org
592 Port 922
593
594 Test that you have access with this command:
595
596 ssh -v -p922 gitolite3@git.libre-soc.org
597
598 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
599 port-scanning, and detection of password failures are used to instantly
600 ban IP addresses.
601
602 Wait for the Project Admin to confirm that the ssh key has been added
603 to the required repositories. Once confirmed, you can clone any of the
604 repos at https://git.libre-soc.org/:
605
606 git clone gitolite3@git.libre-soc.org:REPONAME.git
607
608 Alternatively, the .ssh/config can be skipped and this used:
609
610 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
611
612 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
613 fail2ban is running and, due to repeated persistent port-scanning spammers
614 is set up to instantly ban any unauthorised ssh access for up to two weeks.
615 This keeps log file sizes down on the server (which is resource-constrained).
616 If you are wondering why this is done, it's a *lot* of port-scans.
617
618 Therefore, *only* ssh in to server with the gitolite3 account, *only*
619 on port 922, and *only* once the systems administrator has given you
620 the all-clear that the ssh key has been added.
621
622 # git configuration
623
624 Although there are methods online which describe how (and why) these
625 settings are normally done, honestly it is simpler and easier to open
626 ~/.gitconfig and add them by hand.
627
628 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
629 files they don't become a pain. pull.rebase is something that is greatly
630 preferred for this project because it avoids the mess of "multiple
631 extra merge git tree entries", and branch.autosetuprebase=always will,
632 if you want it, always ensure that a new git checkout is set up with rebase.
633
634 [core]
635 autocrlf = input
636 [push]
637 default = simple
638 [pull]
639 rebase = true
640 [branch]
641 autosetuprebase = always
642
643 # Checking out the HDL repositories
644
645 Before running the following, install the
646 dependencies. This is easiest done with this script
647 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
648
649 * mkdir ~/src
650 * cd !$
651 * git clone gitolite3@git.libre-soc.org:nmigen.git
652 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
653 * git clone gitolite3@git.libre-soc.org:nmutil.git
654 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
655 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
656 * git clone gitolite3@git.libre-soc.org:nmigen-soc.git
657 * git clone gitolite3@git.libre-soc.org:soc.git
658
659 In each of these directories, in the order listed, track down the
660 `setup.py` file, then, as root (`sudo bash`), run the following:
661
662 * python3 setup.py develop
663
664 The reason for using "develop" mode is that the code may be edited
665 in-place yet still imported "globally". There are variants on this theme
666 for multi-user machine use however it is often just easier to get your
667 own machine these days.
668
669 The reason for the order is because soc depends on ieee754fpu, and
670 ieee754fpu depends on nmutil
671
672 If "`python3 setup.py install`" is used it is a pain: edit, then
673 install. edit, then install. It gets extremely tedious, hence why
674 "develop" was created.
675
676 If you prefer you can use this script instead: of course you checked it
677 in advance and accept full responsibility.
678 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
679
680 # Development Rules
681
682 Team communication:
683
684 * new members, add yourself to the [[about_us]] page and create yourself
685 a home page using someone else's page as a template.
686 * communicate on the mailing list or the bugtracker an intent to take
687 responsibility for a particular task.
688 * assign yourself as the bug's owner
689 * *keep in touch* about what you are doing, and why you are doing it.
690 * edit your home page regularly, particularly to track tasks so that
691 they can be paid by NLNet.
692 * if you cannot do something that you have taken responsibility for,
693 then unless it is a dire personal emergency please say so, on-list. we
694 won't mind. we'll help sort it out.
695
696 Regarding the above it is important that you read, understand, and agree
697 to the [[charter]] because the charter is about ensuring that we operate
698 as an effective organisation. It's *not* about "setting rules and meting
699 out punishment".
700
701 ## Coding
702
703 for actual code development
704
705 ### Plan unit tests
706
707 * plan in advance to write not just code but a full test suite for
708 that code. **this is not optional**. large python projects that do not
709 have unit tests **FAIL** (see separate section below).
710 * Prioritise writing formal proofs and a single clear unit test that is more
711 like a "worked example".
712 We receive NLNet funds for writing formal proofs, plus they
713 cover corner cases and take far less time to write
714
715 ### Commit tested or zero-dependent code
716
717 * only commit code that has been tested (or is presently unused). other
718 people will be depending on you, so do take care not to screw up.
719 not least because, as it says in the [[charter]] it will be your
720 responsibility to fix. that said, do not feel intimidated: ask for help
721 and advice, and you'll get it straight away.
722
723 ### Commit often
724
725 * commit often. several times a day, and "git push" it. this is
726 collaboration. if something is left even overnight uncommitted and not
727 pushed so that other people can see it, it is a red flag.
728 * if you find
729 yourself thinking "i'll commit it when it's finished" or "i don't want to
730 commit something that people might criticise" *this is not collaboration*,
731 it is making yourself a bottleneck. pair-programming is supposed to help
732 avoid this kind of thing however pair-programming is difficult to organise
733 for remote collaborative libre projects (suggestions welcomed here)
734
735 ### Enable editor auto-detection of file changes by external programs
736
737 This is important. "`git pull`" will merge in changes. If you then
738 arbitrarily save a file without re-loading it, you risk destroying
739 other people's work.
740
741 You can avoid damaging the repositories by following some simple procedures:
742
743 run appropriate unit tests
744 git pull
745 run appropriate unit tests again (checks other people's work)
746 git diff # and actually read and review the output
747 git status # check for any missing files
748 git commit # with appropriate arguments and message
749 git push # always always always do this
750
751 ### Absolutely no auto-generated output
752
753 * **do not commit autogenerated output**. write a shell script and commit
754 that, or add a `Makefile` to run the command that generates the output, but
755 **do not** add the actual output of **any** command to the repository.
756 ever. this is really important. even if it is a human-readable file
757 rather than a binary object file.
758 * it is very common to add PDFs (the result of running `latex2pdf`) or
759 configure.in (the result of running `automake`), they are an absolute
760 nuisance and interfere hugely with git diffs, as well as waste hard
761 disk space *and* network bandwidth. don't do it.
762 * do not add multi-megabyte or multi-gigabyte "test data".
763 use shell scripts and commit that, which automatically downloads the
764 "test data" from a well-known known-good reliable location instead.
765
766 ### Write commands that do tasks and commit those
767
768 * if the command needed to create any given autogenerated output is not
769 currently in the list of known project dependencies, first consult on
770 the list if it is okay to make that command become a hard dependency of
771 the project (hint: java, node.js php and .NET commands may cause delays
772 in response time due to other list participants laughing hysterically),
773 and after a decision is made, document the dependency and how its source
774 code is obtained and built (hence why it has to be discussed carefully)
775 * if you find yourself repeating commands regularly, chances are high
776 that someone else will need to run them, too. clearly this includes
777 yourself, therefore, to make everyone's lives easier including your own,
778 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
779 the repository and document them at the very minimum in the README,
780 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
781 ask on the mailing list for advice.
782
783 ### Keep commits single-purpose
784
785 * edit files making minimal *single purpose* modifications (even if
786 it involves multiple files. Good extreme example: globally changing
787 a function name across an entire codebase is one purpose, one commit,
788 yet hundreds of files. miss out one of those files, requiring multiple
789 commits, and it actually becomes a nuisance).
790
791 ### Run unit tests prior to commits
792
793 * prior to committing make sure that relevant unit tests pass, or that
794 the change is a zero-impact addition (no unit tests fail at the minimum)
795
796 ### Do not break existing code
797
798 * keep working code working **at all times**. find ways to ensure that
799 this is the case. examples include writing alternative classes that
800 replace existing functionality and adding runtime options to select
801 between old and new code.
802
803 ### Small commits with relevant commit message
804
805 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
806 (no "added this" or "changed that").
807 * if as you write you find that the commit message involves a *list* of
808 changes or the word "and", then STOP. do not proceed: it is a "red flag"
809 that the commit has not been properly broken down into separate-purpose
810 commits. ask for advice on-list on how to proceed.
811
812 ### Exceptions to small commit: atomic single purpose commit
813
814 * if it is essential to commit large amounts of code, ensure that it
815 is **not** in use **anywhere** by any other code. then make a *small*
816 (single purpose) followup commit which actually puts that code into use.
817
818 This last rule is kinda flexible, because if you add the code *and* add
819 the unit test *and* added it into the main code *and* ran all relevant
820 unit tests on all cascade-impacted areas by that change, that's perfectly
821 fine too. however if it is the end of a day, and you need to stop and
822 do not have time to run the necessary unit tests, do *not* commit the
823 change which integrates untested code: just commit the new code (only)
824 and follow up the next day *after* running the full relevant unit tests.
825
826 ### Why such strict rules?
827
828 The reason for all the above is because python is a dynamically typed
829 language. make one tiny change at the base level of the class hierarchy
830 and the effect may be disastrous.
831
832 It is therefore worth reiterating: make absolutely certain that you *only*
833 commit working code or zero-impact code.
834
835 Therefore, if you are absolutely certain that a new addition (new file,
836 new class, new function) is not going to have any side-effects, committing
837 it (a large amount of code) is perfectly fine.
838
839 As a general rule, however, do not use this an an excuse to write code
840 first then write unit tests as an afterthought. write *less* code *in
841 conjunction* with its (more basic) unit tests, instead. then, folliw up with
842 additions and improvements.
843
844 The reason for separating out commits to single purpose only becomes
845 obvious (and regretted if not followed) when, months later, a mistake
846 has to be tracked down and reverted. if the commit does not have an
847 easy-to-find message, it cannot even be located, and once found, if the
848 commit confuses several unrelated changes, not only the diff is larger
849 than it should be, the reversion process becomes extremely painful.
850
851 ### PEP8 format
852
853 * all code needs to conform to pep8. use either pep8checker or better
854 run autopep8. however whenever committing whitespace changes, *make a
855 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
856 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
857 you think you need greater than 80 chars, it *fundamentally* indicates
858 poor code design. split the code down further into smaller classes
859 and functions.
860
861 ### Docstring checker
862
863 * TBD there is a docstring checker. at the minimum make sure to have
864 an SPD license header, module header docstring, class docstring and
865 function docstrings on at least non-obvious functions.
866
867 ### Clear code commenting and docstrings
868
869 * make liberal but not excessive use of comments. describe a group of
870 lines of code, with terse but useful comments describing the purpose,
871 documenting any side-effects, and anything that could trip you or other
872 developers up. unusual coding techniques should *definitely* contain
873 a warning.
874
875 ### Only one class per module (ish)
876
877 * unless they are very closely related, only have one module (one class)
878 per file. a file only 25 lines long including imports and docstrings
879 is perfectly fine however don't force yourself. again, if unsure,
880 ask on-list.
881
882 ### File and Directory hierarchy
883
884 * *keep files short and simple*. see below as to why
885 * create a decent directory hierarchy but do not go mad. ask for advice
886 if unsure
887
888 ### No import star!
889
890 * please do not use "from module import \*". it is extremely bad practice,
891 causes unnecessary resource utilisation, makes code readability and
892 tracking extremely difficult, and results in unintended side-effects.
893
894 Example: often you want to find the code from which a class was imported.
895 nirmally you go to the top of the file, check the imports, and you know
896 exactly which file has the class because of the import path. by using
897 wildcards, you have absolutely *no clue* which wildcard imported which
898 class or classes.
899
900 Example: sometimes you may accidentally have duplicate code maintained
901 in two or more places. editing one of them you find, puzzlingly, that
902 the code behaves in some files with the old behaviour, but in others it
903 works. after a massive amount of investigation, you find that the working
904 files happen to have a wildcard import of the newer accidental duplicate
905 class **after** the wildcard import of the older class with exactly the
906 same name. if you had used explicit imports, you would have spotted
907 the double import of the class from two separate locations, immediately.
908
909 Really. don't. use. wildcards.
910
911 ### Keep file and variables short but clear
912
913 * try to keep both filenames and variable names short but not ridiculously
914 obtuse. an interesting compromise on imports is "from ridiculousfilename
915 import longsillyname as lsn", and to assign variables as well: "comb =
916 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
917 that can reduce code indentation by 6 characters without reducing clarity.
918
919 Additionally, use comments just above an obtuse variable in order to
920 help explain what it is for. In combination with keeping the the module
921 itself short, other readers will not need to scroll back several pages
922 in order to understand the code.
923
924 Yes it is tempting to actually use the variables as
925 self-explanatory-comments and generally this can be extremely good
926 practice. the problem comes when the variable is so long that a function
927 with several parameters csn no longer fit on a single line, and takes
928 up five to ten lines rather than one or two. at that point, the length
929 of the code is adversely affected and thus so is readability by forcing
930 readers to scroll through reams of pages.
931
932 It is a tricky balance: basically use your common sense, or just ask
933 someone else, "can you understand this code?"
934
935 ### Reasons for code structure
936
937 Regarding code structure: we decided to go with small modules that are
938 both easy to analyse, as well as fit onto a single page and be readable
939 when displayed as a visual graph on a full UHD monitor. this is done
940 as follows:
941
942 * using the capability of nmigen (TODO crossref to example) output the
943 module to a yosys ilang (.il) file
944 * in a separate terminal window, run yosys
945 * at the yosys prompt type "read_ilang modulename.il"
946 * type "show top" and a graphviz window should appear. note that typing
947 show, then space, then pressing the tab key twice will give a full list
948 of submodules (one of which will be "top")
949
950 You can now fullsize the graphviz window and scroll around. if it looks
951 reasonably obvious at 100% zoom, i.e the connections can be clearly
952 related in your mind back to the actual code (by matching the graph names
953 against signals and modules in the original nmigen code) and the words are
954 not tiny when zoomed out, and connections are not total incomprehensible
955 spaghetti, then congratulations, you have well-designed code. If not,
956 then this indicates a need to split the code further into submodules
957 and do a bit more work.
958
959 The reasons for doing a proper modularisation job are several-fold:
960
961 * firstly, we will not be doing a full automated layout-and-hope
962 using alliance/coriolis2, we will be doing leaf-node thru tree node
963 half-automated half-manual layout, finally getting to the floorplan,
964 then revising and iteratively adjusting.
965 * secondly, examining modules at the gate level (or close to it) is just
966 good practice. poor design creeps in by *not* knowing what the tools
967 are actually doing (word to experienced developers: yes, we know that
968 the yosys graph != final netlist).
969 * thirdly, unit testing, particularly formal proofs, is far easier on
970 small sections of code, and complete in a reasonable time.
971
972 ## Special warning / alert to vim users!
973
974 Some time around the beginning of 2019 some bright spark decided that
975 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
976 idea to enable by default from that point onwards.
977
978 This incredibly annoying "feature" results in tabs (or spaces) being
979 inserted "on your behalf" when you press return on one line, for your
980 "convenience" of not needing to type lots of spaces/tabs just to get
981 to the same indentation level.
982
983 Of course, this "feature", if you press return on one line in edit
984 mode and then press "escape", leaves a bundle-of-joy extraneous
985 whitespace **exactly** where you don't want it, and didn't ask for it,
986 pooped all over your file.
987
988 Therefore, *please*: **before** running "git commit", get into the
989 habit of always running "git diff", and at the very minimum
990 speed-skim the entire diff, looking for tell-tale "red squares"
991 (these show up under bash diff colour-syntax-highlighting) that
992 inform you that, without your knowledge or consent, vim has
993 "helpfully" inserted extraneous whitespace.
994
995 Remove them **before** git committing because they are not part
996 of the actual desired code-modifications, and committing them
997 is a major and constant distraction for reviewers about actual
998 important things like "the code that actually *usefully* was
999 modified for that commit"
1000
1001 This has the useful side-effect of ensuring that, right before
1002 the commit, you've got the actual diff right in front of you
1003 in the xterm window, on which you can base the "commit message".
1004
1005 ## Unit tests
1006
1007 For further reading, see the wikipedia page on
1008 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1009
1010 This deserves its own special section. It is extremely important to
1011 appreciate that without unit tests, python projects are simply unviable.
1012 Python itself has over 25,000 individual tests.
1013
1014 This can be quite overwhelming to a beginner developer, especially one
1015 used to writing scripts of only 100 lines in length.
1016
1017 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1018 proof is not only shorter, it's also far more readable and also, if
1019 written properly, provides 100% coverage of corner-cases that would
1020 otherwise be overlooked or require tens to hundreds of thousands of
1021 tests to be run.
1022
1023 No this is not a joke or even remotely hypothetical, this is an actual
1024 real-world problem.
1025
1026 The ieee754fpu requires several hundreds of thousands of tests to be
1027 run (currently needing several days to run them all), and even then we
1028 cannot be absolutely certain that all possible combinations of input have
1029 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1030 it is simply impossible to even try.
1031
1032 This is where formal proofs come into play.
1033
1034 Samuel illustrated to us that "ordinary" unit tests can then be written
1035 to *augment* the formal ones, serving the purpose of illustrating how
1036 to use the module, more than anything.
1037
1038 However it is appreciated that writing formal proofs is a bit of a
1039 black art. This is where team collaboration particularly kicks in,
1040 so if you need help, ask on the mailing list.
1041
1042 ## Don't comment out unit tests: add them first (as failures) and fix code later
1043
1044 Unit tests serve an additional critical purpose of keeping track of code
1045 that needs to be written. In many cases, you write the unit test *first*,
1046 despite knowing full well that the code doesn't even exist or is completely
1047 broken. The unit test then serves as a constant and important reminder
1048 to actually fix (or write) the code.
1049
1050 Therefore, *do not* comment out unit tests just because they "don't work".
1051 If you absolutely must stop a unit test from running, **do not delete it**.
1052 Simply mark it with an appropriate
1053 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1054 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1055 with further details as to why the unit test should not be run.
1056
1057 # TODO Tutorials
1058
1059 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1060
1061 * Robert Baruch's nmigen tutorials look really good:
1062 <https://github.com/RobertBaruch/nmigen-tutorial>
1063 * Although a verilog example this is very useful to do
1064 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1065 * This tutorial looks pretty good and will get you started
1066 <http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install> and
1067 walks not just through simulation, it takes you through using gtkwave
1068 as well.
1069 * There exist several nmigen examples which are also executable
1070 <https://github.com/m-labs/nmigen/tree/master/examples/> exactly as
1071 described in the above tutorial (python3 filename.py -h)
1072