(no commit message)
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 ### How to raise issues
137
138 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
139
140 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
141 please raise a bug report!
142 Bug reports allow tracking of issues, both to make the developers lives easier,
143 as well as for tracking completed grant-funded work.
144
145 1. Create a bug report.
146 2. Add in any links from the mailing list or IRC logs to the bug report for back tracking
147 (this is mandatory). Also fill in the URL field if there is a relevant wiki page.
148 3. CC in relevant team members
149 4. make absolutely sure to fill in "blocks", "depends on" or "see also" so that the
150 bug is not isolated (otherwise bugs are too hard to find if isolated from everything else)
151 45. Ping on IRC to say a bug has been created
152
153 ## ikiwiki
154
155 Runs the main libre-soc.org site (including this page). effective,
156 stunningly light on resources, and uses a git repository not a database.
157 That means it can be edited offline.
158
159 Usual deal: register an account and you can start editing and contributing
160 straight away.
161
162 Hint: to create a new page, find a suitable page that would link to it,
163 first, then put the link in of the page you want to create, as if the
164 page already exists. Save that page, and you will find a question mark
165 next to the new link you created. click that link, and it will fire up a
166 "create new page" editor.
167
168 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
169
170 Hint again: the wiki is backed by a git repository. Don't go overboard
171 but at the same time do not be afraid that you might "damage" or "lose"
172 pages. Although it would be a minor pain, the pages can always be
173 reverted or edited by the sysadmins to restore things if you get in a tiz.
174
175 Assistance in creating a much better theme greatly appreciated. e.g.
176 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
177
178 ## git
179
180 We use git. More on this below. We also use
181 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
182 again, it is extremely effective and low resource utilisation. Reminder:
183 lions are involved if github is mentioned.
184
185 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
186 does a decent job. <https://git.libre-soc.org/>
187
188 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
189 tracks changes to files so that previous versions can be got back or
190 compared.
191
192 Checklist page [[HDL_workflow/git_checklist]]
193
194 ## ftp server
195
196 <https://ftp.libre-soc.org/> is available for storing large files
197 that do not belong in a git repository, if we have (or ever need)
198 any. Images (etc.) if small and appropriate should go into the
199 wiki, however .tgz archives (etc.) and, at some point, binaries,
200 should be on the ftp server.
201
202 Ask on the list if you have a file that belongs on the ftp server.
203
204 ## server
205
206 As an aside: all this is "old school" and run on a single core 512MB
207 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
208 mythic-beasts and means that the project is in no way dependent on anyone
209 else - not microsoft, not google, not facebook, not amazon.
210
211 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
212 don't ask to replace the above extremely resource-efficient services
213 with it.
214
215 # Hardware
216
217 RAM is the biggest requirement. Minimum 16GB, the more the better (32
218 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
219 critical: 256GB SSD should be more than adequate. Simulations and
220 FPGA compilations however are where raw processing power is a must.
221 High end Graphics Cards are nonessential.
222
223 What is particularly useful is to have hi-res screens (curved is
224 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
225 going "prism" through long term use), and to have several of them: the
226 more the better. Either a DisplayLink UD160A (or more modern variant)
227 or simply using a second machine (lower spec hardware because it will
228 run editors) is really effective.
229
230 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
231 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
232 than 16:9 particularly when using several of them. However, caveat
233 (details below): please when editing do not assume that everyone will
234 have access to such high resolution screens.
235
236 # Operating System
237
238 First install and become familiar with
239 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
240 if you absolutely
241 must) for standardisation cross-team and so that toolchain installation
242 is greatly simplified. yosys in particular warns that trying to use
243 Windows, BSD or MacOS will get you into a world of pain.
244
245 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
246 sufficient (alongside wicd-gtk for network management). Other more
247 complex desktops can be used however may consume greater resources.
248
249 # editors and editing
250
251 Whilst this is often a personal choice, the fact that many editors are
252 GUI based and run full-screen with the entire right hand side *and* middle
253 *and* the majority of the left side of the hi-res screen entirely unused
254 and bereft of text leaves experienced developers both amused and puzzled.
255
256 At the point where such full-screen users commit code with line lengths
257 well over 160 characters, that amusement quickly evaporates.
258
259 Where the problems occur with full-screen editor usage is when a project
260 is split into dozens if not hundreds of small files (as this one is). At
261 that point it becomes pretty much essential to have as many as six to
262 eight files open *and on-screen* at once, without overlaps i.e. not in
263 hidden tabs, next to at least two if not three additional free and clear
264 terminals into which commands are regularly and routinely typed (make,
265 git commit, nosetests3 etc). Illustrated with the following 3840x2160
266 screenshot (click to view full image), where *every one* of those 80x70
267 xterm windows is *relevant to the task at hand*.
268
269 [[!img 2020-01-24_11-56.png size=640x ]]
270
271 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
272 additionally requiring a mouse click, can save a huge amount of cumulative
273 development time here, switching between editor terminal(s) and the
274 command terminals).
275
276 Once this becomes necessary, it it turn implies that having greater
277 than 80 chars per line - and running editors full-screen - is a severe
278 hinderance to an essential *and highly effective* workflow technique.
279
280 Additionally, care should be taken to respect that not everyone will have
281 200+ column editor windows and the eyesight of a hawk. They may only have
282 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
283 Consequently, having excessively long functions is also a hindrance to
284 others, as such developers with limited screen resources would need to
285 continuously page-up and page-down to read the code even of a single
286 function, in full.
287
288 This helps explain in part, below, why compliance with
289 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
290 In short: not everyone has the same "modern" GUI workflow or has access
291 to the same computing resources as you, so please do respect that.
292
293 More on this concept is
294 [here](https://www.linuxjournal.com/content/line-length-limits).
295 Note *very pointedly* that Linus Torvalds *specifically* states that
296 he does not want Linux kernel development to become the exclusive
297 domain of the "wealthy". That means **no** to assumptions about
298 access to ultra-high resolution screens.
299
300 # Software prerequisites<a name="software-prerequisites"></a>
301
302 **Please make sure if you install manually that you install dependencies
303 in strict order. Failing to adhere to this will result in pip3 downloading
304 unauthorised older software versions. See
305 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
306
307 Whilst many resources online advocate "`sudo`" in front of all root-level
308 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
309 root prompt, and save yourself some typing.
310
311 * sudo bash
312 * apt-get install vim exuberant-ctags
313 * apt-get install build-essential
314 * apt-get install git python3.7 python3.7-dev python3-nose
315 * apt-get install graphviz xdot gtkwave
316 * apt-get install python3-venv
317 * apt-get install python-virtualenv # this is an alternative to python3-venv
318 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
319 * return to user prompt (ctrl-d)
320
321 (The above assumes that you are running Debian.)
322
323 This will get you python3 and other tools that are
324 needed. [graphviz](https://graphviz.org/) is essential
325 for showing the interconnections between cells, and
326 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
327
328 If you would like to save yourself a lot more typing, check out the
329 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
330 repository, examine the scripts there and use them to automate much of
331 the process below.
332
333 If you would like just to install only the apt dependencies use
334 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
335
336 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
337
338 ## git
339
340 Look up good tutorials on how to use git effectively. There are so many
341 it is hard to recommend one. This is however essential. If you are not
342 comfortable with git, and you let things stay that way, it will seriously
343 impede development progress.
344
345 If working all day you should expect to be making at least two commits per
346 hour, so should become familiar with it very quickly. If you are *not*
347 doing around 2 commits per hour, something is wrong and you should read
348 the workflow instructions below more carefully, and also ask for advice
349 on the mailing list.
350
351 Worth noting: *this project does not use branches*. All code is committed
352 to master and we *require* that it be either zero-impact additions or that
353 relevant unit tests pass 100%. This ensures that people's work does not
354 get "lost" or isolated and out of touch due to major branch diversion,
355 and that people communicate and coordinate with each other.
356
357 This is not a hard rule: under special cirmstances branches can be useful.
358 They should not however be considered "routine".
359
360 For advice on commit messages see
361 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
362 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
363
364 ## yosys
365
366 Follow the source code (git clone) instructions here, do **not** use
367 the "stable" version (do not download the tarball):
368 <https://github.com/YosysHQ/yosys>
369
370 Or, alternatively, use the
371 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
372 script (which also installs symbiyosys and its dependencies)
373
374 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
375 evolving and frequently interacts with yosys.
376
377 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
378 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
379 language.
380 RTL [Register Transfer
381 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
382 models how data moves between
383 [registers](https://en.wikipedia.org/wiki/Hardware_register).
384
385 ## symbiyosys
386
387 To install follow the [instructions
388 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
389 Once done look at [A simple BMC
390 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
391
392 You do not have to install all of those (avy, boolector can be left
393 out if desired) however the more that are installed the more effective
394 the formal proof scripts will be (less resource utilisation in certain
395 circumstances).
396
397 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
398 front-end driver program for Yosys-based formal hardware verification
399 flows.
400
401 ## nmigen (TM)
402
403 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
404
405 **PLEASE NOTE: it is critical to install nmigen as the first dependency
406 prior to installing any further python-based Libre-SOC HDL repositories.
407 If "pip3 list" shows that nmigen has been auto-installed please remove it**
408
409 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
410
411 * mkdir ~/src
412 * cd !$
413 * git clone https://gitlab.com/nmigen/nmigen.git
414 * cd nmigen
415 * sudo bash
416 * python3 setup.py develop
417 * ctrl-d
418
419 Testing can then be carried out with "python3 setup.py test"
420
421 nmigen is a Python toolbox for building complex digital hardware.
422
423 ## Softfloat and sfpy
424
425 These are a test suite dependency for the
426 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
427 library, and will be changed in the future to use Jacob's
428 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
429 In the meantime, sfpy can be built as follows:
430
431 git clone --recursive https://github.com/billzorn/sfpy.git
432 cd sfpy
433 cd SoftPosit
434 git apply ../softposit_sfpy_build.patch
435 git apply /path/to/ieee754fpu/SoftPosit.patch
436 cd ../berkely-softfloat-3
437 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
438 # it contains the same changes as this one
439 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
440 cd ..
441
442 # prepare a virtual environment for building
443 python3 -m venv .env
444
445 # or, if you prefer the old way:
446 # virtualenv -p python3 .env
447
448 # install dependencies
449 source .env/bin/activate
450 pip3 install --upgrade -r requirements.txt
451
452 # build
453 make lib -j$(nproc)
454 make cython
455 make inplace -j$(nproc)
456 make wheel
457
458 # install
459 deactivate # deactivates venv, optional
460 pip3 install dist/sfpy*.whl
461
462 You can test your installation by doing the following:
463
464 python3
465 >>> from sfpy import Posit8
466 >>> Posit8(1.3)
467
468 It should print out `Posit8(1.3125)`
469
470 ## qemu, cross-compilers, gdb
471
472 As we are doing POWER ISA, POWER ISA compilers, toolchains and
473 emulators are required.
474 Again, if you want to save yourself some typing, use the dev scripts.
475 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
476 script will install the qemu;
477 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
478 script will install the toolchain and the corresponding debugger.
479 The steps are provided below only for reference; when in doubt,
480 consider checking and running the scripts.
481
482 Install powerpc64 gcc:
483
484 apt-get install gcc-8-powerpc64-linux-gnu
485
486 Install qemu:
487
488 apt-get install qemu-system-ppc
489
490 Install gdb from source. Obtain the required tarball matching
491 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
492 unpack it, then:
493
494 cd gdb-8.3 (or other location)
495 mkdir build
496 cd build
497 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
498 make -j$(nproc)
499 make install
500
501 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
502 programs. [qemu](https://www.qemu.org/) emulates processors, you can
503 run programs under qemu.
504
505 ## power-instruction-analyzer (pia)
506
507 We have a custom tool built in Rust by programmerjake to help analyze
508 the OpenPower instructions' execution on *actual* hardware.
509
510 Install Rust:
511
512 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
513
514 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
515
516 rustup default stable
517 rustup update
518
519 Install the Python extension from git source by doing the following:
520
521 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
522 cd pia
523 ./libre-soc-install.sh
524
525 ## Chips4Makers JTAG
526
527 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
528 interface, instead require a full complete independent implementation
529 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
530 superb and well-written. The Libre-SOC version includes DMI (Debug
531 Memory Interface):
532
533 git clone https://git.libre-soc.org/git/c4m-jtag.git/
534 cd c4m-jtag
535 python3 setup.py develop
536
537 Included is an IDCODE tap point, Wishbone Master (for direct memory read
538 and write, fully independent of the core), IOPad redirection and testing,
539 and general purpose shift register capability for any custom use.
540
541 We added a DMI to JTAG bridge in LibreSOC which is
542 directly connected to the core, to access registers and
543 to be able to start and stop the core and change the PC.
544 In combination with the JTAG Wishbone interface the test
545 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
546 can have a bootloader uploaded directly into onboard
547 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
548 execution begun.
549
550 [Chips4Makers](https://chips4makers.io/) make it possible for makers
551 and hobbyists to make their own open source chips.
552
553 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
554 an industry standard for verifying designs and testing printed circuit
555 boards after manufacture.
556
557 The [Wishbone
558 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
559 source hardware computer bus intended to let the parts of an integrated
560 circuit communicate with each other.
561
562 ## Coriolis2
563 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
564
565 ## Nextpnr
566
567 A portable FPGA place and route tool.
568
569 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
570 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
571 and the Lattice VERSA_ECP5.
572
573 ## Nextpnr-xilinx
574
575 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
576
577 One of the ways to get Arty A7 100t Digilent FPGA board working.
578
579 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
580
581
582 ## Verilator
583
584 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
585
586 Advise use only v4.106 at the moment.
587
588 See [[HDL_workflow/verilator]] page for installation instructions.
589
590 ## GHDL
591
592 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
593
594 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
595
596 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
597
598 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
599
600 See [[HDL_workflow/ghdl]] page for installation instructions.
601
602 ## Icarus Verilog
603
604 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
605
606 See [[HDL_workflow/iverilog]] page for installation instructions.
607
608 ## Cocotb
609
610 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
611
612 See [[HDL_workflow/cocotb]] page for installation instructions.
613
614 ## Symbiflow
615
616 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
617
618 One way to get the Arty A7 100t Digilent FPGA board working.
619
620 See [[HDL_workflow/symbiflow]] for installation instructions
621 and dependencies.
622
623 ## FPGA/Board Boot-Loaders-Programmers
624
625 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
626 OrangeCrab.
627
628 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
629 xc3sprog and ecpprog are going to be used.
630
631 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
632
633 ## ls2 peripheral fabric
634
635 [[HDL_workflow/ls2]]
636
637 # Registering for git repository access<a name="gitolite3_access"></a>
638
639 After going through the onboarding process and having agreed to take
640 responsibility for certain tasks, ask on the mailing list for git
641 repository access, sending in a public key (`id_rsa.pub`). If you do
642 not have one then generate it with `ssh-keygen -t rsa`. You will find it
643 in `~/.ssh`
644
645 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
646 account of being public, is perfectly fine to make... err... public.
647
648 Create a file `~/.ssh/config` with the following lines:
649
650 Host git.libre-soc.org
651 Port 922
652
653 Test that you have access with this command:
654
655 ssh -v -p922 gitolite3@git.libre-soc.org
656
657 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
658 port-scanning, and detection of password failures are used to instantly
659 ban IP addresses.
660
661 Wait for the Project Admin to confirm that the ssh key has been added
662 to the required repositories. Once confirmed, you can clone any of the
663 repos at https://git.libre-soc.org/:
664
665 git clone gitolite3@git.libre-soc.org:REPONAME.git
666
667 Alternatively, the .ssh/config can be skipped and this used:
668
669 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
670
671 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
672 fail2ban is running and, due to repeated persistent port-scanning spammers
673 is set up to instantly ban any unauthorised ssh access for up to two weeks.
674 This keeps log file sizes down on the server (which is resource-constrained).
675 If you are wondering why this is done, it's a *lot* of port-scans.
676
677 Therefore, *only* ssh in to server with the gitolite3 account, *only*
678 on port 922, and *only* once the systems administrator has given you
679 the all-clear that the ssh key has been added.
680
681 # git configuration
682
683 Although there are methods online which describe how (and why) these
684 settings are normally done, honestly it is simpler and easier to open
685 ~/.gitconfig and add them by hand.
686
687 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
688 files they don't become a pain. pull.rebase is something that is greatly
689 preferred for this project because it avoids the mess of "multiple
690 extra merge git tree entries", and branch.autosetuprebase=always will,
691 if you want it, always ensure that a new git checkout is set up with rebase.
692
693 [core]
694 autocrlf = input
695 [push]
696 default = simple
697 [pull]
698 rebase = true
699 [branch]
700 autosetuprebase = always
701
702 # Checking out the HDL repositories
703
704 Before running the following, install the
705 dependencies. This is easiest done with this script
706 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
707
708 **It is critically important to install these in STRICT order, otherwise
709 pip3 interferes and performs unauthorised downloads without informing
710 you of what it is doing**.
711
712 * mkdir ~/src
713 * cd !$
714 * git clone https://gitlab.com/nmigen/nmigen
715 * git clone https://gitlab.com/nmigen/nmigen-boards
716 * git clone https://gitlab.com/nmigen/nmigen-soc
717 * git clone https://gitlab.com/nmigen/nmigen-stdio
718 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
719 * git clone gitolite3@git.libre-soc.org:nmutil.git
720 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
721 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
722 * git clone gitolite3@git.libre-soc.org:soc.git
723
724 In each of these directories, **in the order listed**, track down the
725 `setup.py` file, then, as root (`sudo bash`), run the following:
726
727 * python3 setup.py develop
728
729 The reason for using "develop" mode is that the code may be edited
730 in-place yet still imported "globally". There are variants on this theme
731 for multi-user machine use however it is often just easier to get your
732 own machine these days.
733
734 The reason for the order is because soc depends on ieee754fpu, and
735 ieee754fpu depends on nmutil. If you do not follow the listed order
736 pip3 will go off and download an arbitrary version without your
737 consent.
738
739 If "`python3 setup.py install`" is used it is a pain: edit, then
740 install. edit, then install. It gets extremely tedious, hence why
741 "develop" was created.
742
743 If you prefer you can use this script instead: of course you checked it
744 in advance and accept full responsibility.
745 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
746
747 # Development Rules
748
749 Team communication:
750
751 * new members, add yourself to the [[about_us]] page and create yourself
752 a home page using someone else's page as a template.
753 * communicate on the mailing list or the bugtracker an intent to take
754 responsibility for a particular task.
755 * assign yourself as the bug's owner
756 * *keep in touch* about what you are doing, and why you are doing it.
757 * edit your home page regularly, particularly to track tasks so that
758 they can be paid by NLNet.
759 * if you cannot do something that you have taken responsibility for,
760 then unless it is a dire personal emergency please say so, on-list. we
761 won't mind. we'll help sort it out.
762
763 Regarding the above it is important that you read, understand, and agree
764 to the [[charter]] because the charter is about ensuring that we operate
765 as an effective organisation. It's *not* about "setting rules and meting
766 out punishment".
767
768 ## Coding
769
770 for actual code development
771
772 ### Plan unit tests
773
774 * plan in advance to write not just code but a full test suite for
775 that code. **this is not optional**. large python projects that do not
776 have unit tests **FAIL** (see separate section below).
777 * Prioritise writing formal proofs and a single clear unit test that is more
778 like a "worked example".
779 We receive NLNet funds for writing formal proofs, plus they
780 cover corner cases and take far less time to write
781
782 ### Commit tested or zero-dependent code
783
784 * only commit code that has been tested (or is presently unused). other
785 people will be depending on you, so do take care not to screw up.
786 not least because, as it says in the [[charter]] it will be your
787 responsibility to fix. that said, do not feel intimidated: ask for help
788 and advice, and you'll get it straight away.
789
790 ### Commit often
791
792 * commit often. several times a day, and "git push" it. this is
793 collaboration. if something is left even overnight uncommitted and not
794 pushed so that other people can see it, it is a red flag.
795 * if you find
796 yourself thinking "i'll commit it when it's finished" or "i don't want to
797 commit something that people might criticise" *this is not collaboration*,
798 it is making yourself a bottleneck. pair-programming is supposed to help
799 avoid this kind of thing however pair-programming is difficult to organise
800 for remote collaborative libre projects (suggestions welcomed here)
801
802 ### Enable editor auto-detection of file changes by external programs
803
804 This is important. "`git pull`" will merge in changes. If you then
805 arbitrarily save a file without re-loading it, you risk destroying
806 other people's work.
807
808 You can avoid damaging the repositories by following some simple procedures:
809
810 run appropriate unit tests
811 git pull
812 run appropriate unit tests again (checks other people's work)
813 git diff # and actually read and review the output
814 git status # check for any missing files
815 git commit # with appropriate arguments and message
816 git push # always always always do this
817
818 ### Absolutely no auto-generated output
819
820 * **do not commit autogenerated output**. write a shell script and commit
821 that, or add a `Makefile` to run the command that generates the output, but
822 **do not** add the actual output of **any** command to the repository.
823 ever. this is really important. even if it is a human-readable file
824 rather than a binary object file.
825 * it is very common to add PDFs (the result of running `latex2pdf`) or
826 configure.in (the result of running `automake`), they are an absolute
827 nuisance and interfere hugely with git diffs, as well as waste hard
828 disk space *and* network bandwidth. don't do it.
829 * do not add multi-megabyte or multi-gigabyte "test data".
830 use shell scripts and commit that, which automatically downloads the
831 "test data" from a well-known known-good reliable location instead.
832
833 ### Write commands that do tasks and commit those
834
835 * if the command needed to create any given autogenerated output is not
836 currently in the list of known project dependencies, first consult on
837 the list if it is okay to make that command become a hard dependency of
838 the project (hint: java, node.js php and .NET commands may cause delays
839 in response time due to other list participants laughing hysterically),
840 and after a decision is made, document the dependency and how its source
841 code is obtained and built (hence why it has to be discussed carefully)
842 * if you find yourself repeating commands regularly, chances are high
843 that someone else will need to run them, too. clearly this includes
844 yourself, therefore, to make everyone's lives easier including your own,
845 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
846 the repository and document them at the very minimum in the README,
847 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
848 ask on the mailing list for advice.
849
850 ### Keep commits single-purpose
851
852 * edit files making minimal *single purpose* modifications (even if
853 it involves multiple files. Good extreme example: globally changing
854 a function name across an entire codebase is one purpose, one commit,
855 yet hundreds of files. miss out one of those files, requiring multiple
856 commits, and it actually becomes a nuisance).
857
858 ### Run unit tests prior to commits
859
860 * prior to committing make sure that relevant unit tests pass, or that
861 the change is a zero-impact addition (no unit tests fail at the minimum)
862
863 ### Do not break existing code
864
865 * keep working code working **at all times**. find ways to ensure that
866 this is the case. examples include writing alternative classes that
867 replace existing functionality and adding runtime options to select
868 between old and new code.
869
870 ### Small commits with relevant commit message
871
872 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
873 (no "added this" or "changed that").
874 * if as you write you find that the commit message involves a *list* of
875 changes or the word "and", then STOP. do not proceed: it is a "red flag"
876 that the commit has not been properly broken down into separate-purpose
877 commits. ask for advice on-list on how to proceed.
878
879 ### Exceptions to small commit: atomic single purpose commit
880
881 * if it is essential to commit large amounts of code, ensure that it
882 is **not** in use **anywhere** by any other code. then make a *small*
883 (single purpose) followup commit which actually puts that code into use.
884
885 This last rule is kinda flexible, because if you add the code *and* add
886 the unit test *and* added it into the main code *and* ran all relevant
887 unit tests on all cascade-impacted areas by that change, that's perfectly
888 fine too. however if it is the end of a day, and you need to stop and
889 do not have time to run the necessary unit tests, do *not* commit the
890 change which integrates untested code: just commit the new code (only)
891 and follow up the next day *after* running the full relevant unit tests.
892
893 ### Why such strict rules?
894
895 The reason for all the above is because python is a dynamically typed
896 language. make one tiny change at the base level of the class hierarchy
897 and the effect may be disastrous.
898
899 It is therefore worth reiterating: make absolutely certain that you *only*
900 commit working code or zero-impact code.
901
902 Therefore, if you are absolutely certain that a new addition (new file,
903 new class, new function) is not going to have any side-effects, committing
904 it (a large amount of code) is perfectly fine.
905
906 As a general rule, however, do not use this an an excuse to write code
907 first then write unit tests as an afterthought. write *less* code *in
908 conjunction* with its (more basic) unit tests, instead. then, folliw up with
909 additions and improvements.
910
911 The reason for separating out commits to single purpose only becomes
912 obvious (and regretted if not followed) when, months later, a mistake
913 has to be tracked down and reverted. if the commit does not have an
914 easy-to-find message, it cannot even be located, and once found, if the
915 commit confuses several unrelated changes, not only the diff is larger
916 than it should be, the reversion process becomes extremely painful.
917
918 ### PHP-style python format-strings
919
920 As the name suggests, "PHP-style" is not given as a compliment.
921 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
922 to read. The lesson from PHP, Zope and Plone: when code is embedded,
923 the purpose of the formatting - the separation of the format from
924 the data to be placed in it - is merged, and consequently become
925 unreadable.
926
927 By contrast, let us imagine a situation where 12 variables need to
928 be inserted into a string, four of which are the same variablename:
929
930 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
931 var3, var4, var2,
932 var1, var9, var1,
933 var3, var4, var1)
934
935 This is just as unreadable, but for different reasons. Here it *is*
936 useful to do this as:
937
938 x = f"{var1} {var2} {var3}" \
939 ...
940 f"{var3} {var4} {var1}"
941
942 As a general rule, though, format-specifiers should be strongly
943 avoided, given that they mix even variable-names directly inside
944 a string.
945
946 This additionally gives text editors (and online web syntax
947 highlighters) the opportunity to colour syntax-highlight the
948 ASCII string (the format) from the variables to be inserted *into*
949 that format. gitweb for example (used by this project) cannot
950 highlight string-formatted code.
951
952 It turns out that colour is processed by the **opposite** hemisphere
953 of the brain from written language. Thus, colour-syntax-highlighting
954 is not just a "nice-to-have", it's **vital** for easier and faster
955 identification of context and an aid to rapid understanding.
956
957 Anything that interferes with that - such as python format-strings -
958 has to take a back seat, regardless of its perceived benefits.
959
960 **If you absolutely must** use python-format-strings, **only** do
961 so by restricting to variables. Create temporary variables if you
962 have to.
963
964 y = '/'.join(a_list)
965 x = f"{y}"
966
967 ### PEP8 format
968
969 * all code needs to conform to pep8. use either pep8checker or better
970 run autopep8. however whenever committing whitespace changes, *make a
971 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
972 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
973 you think you need greater than 80 chars, it *fundamentally* indicates
974 poor code design. split the code down further into smaller classes
975 and functions.
976
977 ### Docstring checker
978
979 * TBD there is a docstring checker. at the minimum make sure to have
980 an SPD license header, module header docstring, class docstring and
981 function docstrings on at least non-obvious functions.
982
983 ### Clear code commenting and docstrings
984
985 * make liberal but not excessive use of comments. describe a group of
986 lines of code, with terse but useful comments describing the purpose,
987 documenting any side-effects, and anything that could trip you or other
988 developers up. unusual coding techniques should *definitely* contain
989 a warning.
990
991 ### Only one class per module (ish)
992
993 * unless they are very closely related, only have one module (one class)
994 per file. a file only 25 lines long including imports and docstrings
995 is perfectly fine however don't force yourself. again, if unsure,
996 ask on-list.
997
998 ### File and Directory hierarchy
999
1000 * *keep files short and simple*. see below as to why
1001 * create a decent directory hierarchy but do not go mad. ask for advice
1002 if unsure
1003
1004 ### No import star!
1005
1006 * please do not use "from module import \*". it is extremely bad practice,
1007 causes unnecessary resource utilisation, makes code readability and
1008 tracking extremely difficult, and results in unintended side-effects.
1009
1010 Example: often you want to find the code from which a class was imported.
1011 nirmally you go to the top of the file, check the imports, and you know
1012 exactly which file has the class because of the import path. by using
1013 wildcards, you have absolutely *no clue* which wildcard imported which
1014 class or classes.
1015
1016 Example: sometimes you may accidentally have duplicate code maintained
1017 in two or more places. editing one of them you find, puzzlingly, that
1018 the code behaves in some files with the old behaviour, but in others it
1019 works. after a massive amount of investigation, you find that the working
1020 files happen to have a wildcard import of the newer accidental duplicate
1021 class **after** the wildcard import of the older class with exactly the
1022 same name. if you had used explicit imports, you would have spotted
1023 the double import of the class from two separate locations, immediately.
1024
1025 Really. don't. use. wildcards.
1026
1027 More about this here:
1028
1029 * <https://www.asmeurer.com/removestar/>
1030 * <https://rules.sonarsource.com/python/RSPEC-2208>
1031
1032 ### Keep file and variables short but clear
1033
1034 * try to keep both filenames and variable names short but not ridiculously
1035 obtuse. an interesting compromise on imports is "from ridiculousfilename
1036 import longsillyname as lsn", and to assign variables as well: "comb =
1037 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1038 that can reduce code indentation by 6 characters without reducing clarity.
1039
1040 Additionally, use comments just above an obtuse variable in order to
1041 help explain what it is for. In combination with keeping the the module
1042 itself short, other readers will not need to scroll back several pages
1043 in order to understand the code.
1044
1045 Yes it is tempting to actually use the variables as
1046 self-explanatory-comments and generally this can be extremely good
1047 practice. the problem comes when the variable is so long that a function
1048 with several parameters csn no longer fit on a single line, and takes
1049 up five to ten lines rather than one or two. at that point, the length
1050 of the code is adversely affected and thus so is readability by forcing
1051 readers to scroll through reams of pages.
1052
1053 It is a tricky balance: basically use your common sense, or just ask
1054 someone else, "can you understand this code?"
1055
1056 ### Reasons for code structure
1057
1058 Regarding code structure: we decided to go with small modules that are
1059 both easy to analyse, as well as fit onto a single page and be readable
1060 when displayed as a visual graph on a full UHD monitor. this is done
1061 as follows:
1062
1063 * using the capability of nmigen (TODO crossref to example) output the
1064 module to a yosys ilang (.il) file
1065 * in a separate terminal window, run yosys
1066 * at the yosys prompt type "read_ilang modulename.il"
1067 * type "show top" and a graphviz window should appear. note that typing
1068 show, then space, then pressing the tab key twice will give a full list
1069 of submodules (one of which will be "top")
1070
1071 You can now fullsize the graphviz window and scroll around. if it looks
1072 reasonably obvious at 100% zoom, i.e the connections can be clearly
1073 related in your mind back to the actual code (by matching the graph names
1074 against signals and modules in the original nmigen code) and the words are
1075 not tiny when zoomed out, and connections are not total incomprehensible
1076 spaghetti, then congratulations, you have well-designed code. If not,
1077 then this indicates a need to split the code further into submodules
1078 and do a bit more work.
1079
1080 The reasons for doing a proper modularisation job are several-fold:
1081
1082 * firstly, we will not be doing a full automated layout-and-hope
1083 using alliance/coriolis2, we will be doing leaf-node thru tree node
1084 half-automated half-manual layout, finally getting to the floorplan,
1085 then revising and iteratively adjusting.
1086 * secondly, examining modules at the gate level (or close to it) is just
1087 good practice. poor design creeps in by *not* knowing what the tools
1088 are actually doing (word to experienced developers: yes, we know that
1089 the yosys graph != final netlist).
1090 * thirdly, unit testing, particularly formal proofs, is far easier on
1091 small sections of code, and complete in a reasonable time.
1092
1093 ## Special warning / alert to vim users!
1094
1095 Some time around the beginning of 2019 some bright spark decided that
1096 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1097 idea to enable by default from that point onwards.
1098
1099 This incredibly annoying "feature" results in tabs (or spaces) being
1100 inserted "on your behalf" when you press return on one line, for your
1101 "convenience" of not needing to type lots of spaces/tabs just to get
1102 to the same indentation level.
1103
1104 Of course, this "feature", if you press return on one line in edit
1105 mode and then press "escape", leaves a bundle-of-joy extraneous
1106 whitespace **exactly** where you don't want it, and didn't ask for it,
1107 pooped all over your file.
1108
1109 Therefore, *please*: **before** running "git commit", get into the
1110 habit of always running "git diff", and at the very minimum
1111 speed-skim the entire diff, looking for tell-tale "red squares"
1112 (these show up under bash diff colour-syntax-highlighting) that
1113 inform you that, without your knowledge or consent, vim has
1114 "helpfully" inserted extraneous whitespace.
1115
1116 Remove them **before** git committing because they are not part
1117 of the actual desired code-modifications, and committing them
1118 is a major and constant distraction for reviewers about actual
1119 important things like "the code that actually *usefully* was
1120 modified for that commit"
1121
1122 This has the useful side-effect of ensuring that, right before
1123 the commit, you've got the actual diff right in front of you
1124 in the xterm window, on which you can base the "commit message".
1125
1126 ## Unit tests
1127
1128 For further reading, see the wikipedia page on
1129 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1130
1131 This deserves its own special section. It is extremely important to
1132 appreciate that without unit tests, python projects are simply unviable.
1133 Python itself has over 25,000 individual tests.
1134
1135 This can be quite overwhelming to a beginner developer, especially one
1136 used to writing scripts of only 100 lines in length.
1137
1138 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1139 proof is not only shorter, it's also far more readable and also, if
1140 written properly, provides 100% coverage of corner-cases that would
1141 otherwise be overlooked or require tens to hundreds of thousands of
1142 tests to be run.
1143
1144 No this is not a joke or even remotely hypothetical, this is an actual
1145 real-world problem.
1146
1147 The ieee754fpu requires several hundreds of thousands of tests to be
1148 run (currently needing several days to run them all), and even then we
1149 cannot be absolutely certain that all possible combinations of input have
1150 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1151 it is simply impossible to even try.
1152
1153 This is where formal proofs come into play.
1154
1155 Samuel illustrated to us that "ordinary" unit tests can then be written
1156 to *augment* the formal ones, serving the purpose of illustrating how
1157 to use the module, more than anything.
1158
1159 However it is appreciated that writing formal proofs is a bit of a
1160 black art. This is where team collaboration particularly kicks in,
1161 so if you need help, ask on the mailing list.
1162
1163 ## Don't comment out unit tests: add them first (as failures) and fix code later
1164
1165 Unit tests serve an additional critical purpose of keeping track of code
1166 that needs to be written. In many cases, you write the unit test *first*,
1167 despite knowing full well that the code doesn't even exist or is completely
1168 broken. The unit test then serves as a constant and important reminder
1169 to actually fix (or write) the code.
1170
1171 Therefore, *do not* comment out unit tests just because they "don't work".
1172 If you absolutely must stop a unit test from running, **do not delete it**.
1173 Simply mark it with an appropriate
1174 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1175 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1176 with further details as to why the unit test should not be run.
1177
1178 # Task management guidelines
1179
1180 1. Create the task in appropriate "Product" section with appropriate
1181 "Component" section. Most code tasks generally use "Libre-SOC's
1182 first SOC".
1183 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1184 Also add as many related ("See Also") links to other bugreports
1185 as possible. bugreports are never isolated.
1186 3. Choose the correct task for a budget allocation. Usually the parent
1187 task is used.
1188 4. Choose the correct NLnet milestone. The best practice is to check
1189 the parent task for a correct milestone.
1190 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1191 corresponds to your username and "SUM" corresponds to the actual
1192 budget in EUR. There may be multiple users.
1193 6. When the task is completed, you can begin writing an RFP.
1194 **DO NOT submit it without explicit authorisation and review**.
1195 Leave out your bank and personal address details if you prefer
1196 when sending to the Team Manager for review.
1197 7. Once the RFP is written, notify the Team Manager and obtain their
1198 explicit approval to send it.
1199 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1200 field to include the submitted date:
1201 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1202 `YYYY-MM-DD` form.
1203 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1204 and update `"USER={amount=SUM, submitted=SDATE}"`
1205 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1206 entered in `YYYY-MM-DD` form, too.
1207
1208 Throughout all of this you should be using budget-sync to check the
1209 database consistency
1210 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1211
1212 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1213
1214 # TODO Tutorials
1215
1216 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1217
1218 * Robert Baruch's nmigen tutorials look really good:
1219 <https://github.com/RobertBaruch/nmigen-tutorial>
1220 * Although a verilog example this is very useful to do
1221 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1222 * This tutorial looks pretty good and will get you started
1223 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1224 and walks not just through simulation, it takes you through using
1225 gtkwave as well.
1226 * There exist several nmigen examples which are also executable
1227 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1228 described in the above tutorial (python3 filename.py -h)
1229 * More nmigen tutorials at [[learning_nmigen]]