(no commit message)
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 ### How to raise issues
137 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
138 please raise a bug report!
139 Bug reports allow tracking of issues, both to make the developers lives easier,
140 as well as for tracking completed grant-funded work.
141
142 1. Create a bug report.
143 2. Add the chat from the mailing list to the bug report for back tracking (if needed)
144 3. CC in Luke (or other relevant member of Libre-SOC).
145 4. Ping on IRC to say a bug has been created.
146
147 ## ikiwiki
148
149 Runs the main libre-soc.org site (including this page). effective,
150 stunningly light on resources, and uses a git repository not a database.
151 That means it can be edited offline.
152
153 Usual deal: register an account and you can start editing and contributing
154 straight away.
155
156 Hint: to create a new page, find a suitable page that would link to it,
157 first, then put the link in of the page you want to create, as if the
158 page already exists. Save that page, and you will find a question mark
159 next to the new link you created. click that link, and it will fire up a
160 "create new page" editor.
161
162 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
163
164 Hint again: the wiki is backed by a git repository. Don't go overboard
165 but at the same time do not be afraid that you might "damage" or "lose"
166 pages. Although it would be a minor pain, the pages can always be
167 reverted or edited by the sysadmins to restore things if you get in a tiz.
168
169 Assistance in creating a much better theme greatly appreciated. e.g.
170 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
171
172 ## git
173
174 We use git. More on this below. We also use
175 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
176 again, it is extremely effective and low resource utilisation. Reminder:
177 lions are involved if github is mentioned.
178
179 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
180 does a decent job. <https://git.libre-soc.org/>
181
182 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
183 tracks changes to files so that previous versions can be got back or
184 compared.
185
186 Checklist page [[HDL_workflow/git_checklist]]
187
188 ## ftp server
189
190 <https://ftp.libre-soc.org/> is available for storing large files
191 that do not belong in a git repository, if we have (or ever need)
192 any. Images (etc.) if small and appropriate should go into the
193 wiki, however .tgz archives (etc.) and, at some point, binaries,
194 should be on the ftp server.
195
196 Ask on the list if you have a file that belongs on the ftp server.
197
198 ## server
199
200 As an aside: all this is "old school" and run on a single core 512MB
201 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
202 mythic-beasts and means that the project is in no way dependent on anyone
203 else - not microsoft, not google, not facebook, not amazon.
204
205 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
206 don't ask to replace the above extremely resource-efficient services
207 with it.
208
209 # Hardware
210
211 RAM is the biggest requirement. Minimum 16GB, the more the better (32
212 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
213 critical: 256GB SSD should be more than adequate. Simulations and
214 FPGA compilations however are where raw processing power is a must.
215 High end Graphics Cards are nonessential.
216
217 What is particularly useful is to have hi-res screens (curved is
218 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
219 going "prism" through long term use), and to have several of them: the
220 more the better. Either a DisplayLink UD160A (or more modern variant)
221 or simply using a second machine (lower spec hardware because it will
222 run editors) is really effective.
223
224 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
225 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
226 than 16:9 particularly when using several of them. However, caveat
227 (details below): please when editing do not assume that everyone will
228 have access to such high resolution screens.
229
230 # Operating System
231
232 First install and become familiar with
233 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
234 if you absolutely
235 must) for standardisation cross-team and so that toolchain installation
236 is greatly simplified. yosys in particular warns that trying to use
237 Windows, BSD or MacOS will get you into a world of pain.
238
239 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
240 sufficient (alongside wicd-gtk for network management). Other more
241 complex desktops can be used however may consume greater resources.
242
243 # editors and editing
244
245 Whilst this is often a personal choice, the fact that many editors are
246 GUI based and run full-screen with the entire right hand side *and* middle
247 *and* the majority of the left side of the hi-res screen entirely unused
248 and bereft of text leaves experienced developers both amused and puzzled.
249
250 At the point where such full-screen users commit code with line lengths
251 well over 160 characters, that amusement quickly evaporates.
252
253 Where the problems occur with full-screen editor usage is when a project
254 is split into dozens if not hundreds of small files (as this one is). At
255 that point it becomes pretty much essential to have as many as six to
256 eight files open *and on-screen* at once, without overlaps i.e. not in
257 hidden tabs, next to at least two if not three additional free and clear
258 terminals into which commands are regularly and routinely typed (make,
259 git commit, nosetests3 etc). Illustrated with the following 3840x2160
260 screenshot (click to view full image), where *every one* of those 80x70
261 xterm windows is *relevant to the task at hand*.
262
263 [[!img 2020-01-24_11-56.png size=640x ]]
264
265 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
266 additionally requiring a mouse click, can save a huge amount of cumulative
267 development time here, switching between editor terminal(s) and the
268 command terminals).
269
270 Once this becomes necessary, it it turn implies that having greater
271 than 80 chars per line - and running editors full-screen - is a severe
272 hinderance to an essential *and highly effective* workflow technique.
273
274 Additionally, care should be taken to respect that not everyone will have
275 200+ column editor windows and the eyesight of a hawk. They may only have
276 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
277 Consequently, having excessively long functions is also a hindrance to
278 others, as such developers with limited screen resources would need to
279 continuously page-up and page-down to read the code even of a single
280 function, in full.
281
282 This helps explain in part, below, why compliance with
283 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
284 In short: not everyone has the same "modern" GUI workflow or has access
285 to the same computing resources as you, so please do respect that.
286
287 More on this concept is
288 [here](https://www.linuxjournal.com/content/line-length-limits).
289 Note *very pointedly* that Linus Torvalds *specifically* states that
290 he does not want Linux kernel development to become the exclusive
291 domain of the "wealthy". That means **no** to assumptions about
292 access to ultra-high resolution screens.
293
294 # Software prerequisites<a name="software-prerequisites"></a>
295
296 **Please make sure if you install manually that you install dependencies
297 in strict order. Failing to adhere to this will result in pip3 downloading
298 unauthorised older software versions. See
299 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
300
301 Whilst many resources online advocate "`sudo`" in front of all root-level
302 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
303 root prompt, and save yourself some typing.
304
305 * sudo bash
306 * apt-get install vim exuberant-ctags
307 * apt-get install build-essential
308 * apt-get install git python3.7 python3.7-dev python3-nose
309 * apt-get install graphviz xdot gtkwave
310 * apt-get install python3-venv
311 * apt-get install python-virtualenv # this is an alternative to python3-venv
312 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
313 * return to user prompt (ctrl-d)
314
315 (The above assumes that you are running Debian.)
316
317 This will get you python3 and other tools that are
318 needed. [graphviz](https://graphviz.org/) is essential
319 for showing the interconnections between cells, and
320 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
321
322 If you would like to save yourself a lot more typing, check out the
323 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
324 repository, examine the scripts there and use them to automate much of
325 the process below.
326
327 If you would like just to install only the apt dependencies use
328 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
329
330 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
331
332 ## git
333
334 Look up good tutorials on how to use git effectively. There are so many
335 it is hard to recommend one. This is however essential. If you are not
336 comfortable with git, and you let things stay that way, it will seriously
337 impede development progress.
338
339 If working all day you should expect to be making at least two commits per
340 hour, so should become familiar with it very quickly. If you are *not*
341 doing around 2 commits per hour, something is wrong and you should read
342 the workflow instructions below more carefully, and also ask for advice
343 on the mailing list.
344
345 Worth noting: *this project does not use branches*. All code is committed
346 to master and we *require* that it be either zero-impact additions or that
347 relevant unit tests pass 100%. This ensures that people's work does not
348 get "lost" or isolated and out of touch due to major branch diversion,
349 and that people communicate and coordinate with each other.
350
351 This is not a hard rule: under special cirmstances branches can be useful.
352 They should not however be considered "routine".
353
354 For advice on commit messages see
355 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
356 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
357
358 ## yosys
359
360 Follow the source code (git clone) instructions here, do **not** use
361 the "stable" version (do not download the tarball):
362 <https://github.com/YosysHQ/yosys>
363
364 Or, alternatively, use the
365 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
366 script (which also installs symbiyosys and its dependencies)
367
368 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
369 evolving and frequently interacts with yosys.
370
371 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
372 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
373 language.
374 RTL [Register Transfer
375 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
376 models how data moves between
377 [registers](https://en.wikipedia.org/wiki/Hardware_register).
378
379 ## symbiyosys
380
381 To install follow the [instructions
382 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
383 Once done look at [A simple BMC
384 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
385
386 You do not have to install all of those (avy, boolector can be left
387 out if desired) however the more that are installed the more effective
388 the formal proof scripts will be (less resource utilisation in certain
389 circumstances).
390
391 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
392 front-end driver program for Yosys-based formal hardware verification
393 flows.
394
395 ## nmigen (TM)
396
397 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
398
399 **PLEASE NOTE: it is critical to install nmigen as the first dependency
400 prior to installing any further python-based Libre-SOC HDL repositories.
401 If "pip3 list" shows that nmigen has been auto-installed please remove it**
402
403 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
404
405 * mkdir ~/src
406 * cd !$
407 * git clone https://gitlab.com/nmigen/nmigen.git
408 * cd nmigen
409 * sudo bash
410 * python3 setup.py develop
411 * ctrl-d
412
413 Testing can then be carried out with "python3 setup.py test"
414
415 nmigen is a Python toolbox for building complex digital hardware.
416
417 ## Softfloat and sfpy
418
419 These are a test suite dependency for the
420 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
421 library, and will be changed in the future to use Jacob's
422 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
423 In the meantime, sfpy can be built as follows:
424
425 git clone --recursive https://github.com/billzorn/sfpy.git
426 cd sfpy
427 cd SoftPosit
428 git apply ../softposit_sfpy_build.patch
429 git apply /path/to/ieee754fpu/SoftPosit.patch
430 cd ../berkely-softfloat-3
431 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
432 # it contains the same changes as this one
433 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
434 cd ..
435
436 # prepare a virtual environment for building
437 python3 -m venv .env
438
439 # or, if you prefer the old way:
440 # virtualenv -p python3 .env
441
442 # install dependencies
443 source .env/bin/activate
444 pip3 install --upgrade -r requirements.txt
445
446 # build
447 make lib -j$(nproc)
448 make cython
449 make inplace -j$(nproc)
450 make wheel
451
452 # install
453 deactivate # deactivates venv, optional
454 pip3 install dist/sfpy*.whl
455
456 You can test your installation by doing the following:
457
458 python3
459 >>> from sfpy import Posit8
460 >>> Posit8(1.3)
461
462 It should print out `Posit8(1.3125)`
463
464 ## qemu, cross-compilers, gdb
465
466 As we are doing POWER ISA, POWER ISA compilers, toolchains and
467 emulators are required.
468 Again, if you want to save yourself some typing, use the dev scripts.
469 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
470 script will install the qemu;
471 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
472 script will install the toolchain and the corresponding debugger.
473 The steps are provided below only for reference; when in doubt,
474 consider checking and running the scripts.
475
476 Install powerpc64 gcc:
477
478 apt-get install gcc-8-powerpc64-linux-gnu
479
480 Install qemu:
481
482 apt-get install qemu-system-ppc
483
484 Install gdb from source. Obtain the required tarball matching
485 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
486 unpack it, then:
487
488 cd gdb-8.3 (or other location)
489 mkdir build
490 cd build
491 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
492 make -j$(nproc)
493 make install
494
495 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
496 programs. [qemu](https://www.qemu.org/) emulates processors, you can
497 run programs under qemu.
498
499 ## power-instruction-analyzer (pia)
500
501 We have a custom tool built in Rust by programmerjake to help analyze
502 the OpenPower instructions' execution on *actual* hardware.
503
504 Install Rust:
505
506 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
507
508 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
509
510 rustup default stable
511 rustup update
512
513 Install the Python extension from git source by doing the following:
514
515 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
516 cd pia
517 ./libre-soc-install.sh
518
519 ## Chips4Makers JTAG
520
521 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
522 interface, instead require a full complete independent implementation
523 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
524 superb and well-written. The Libre-SOC version includes DMI (Debug
525 Memory Interface):
526
527 git clone https://git.libre-soc.org/git/c4m-jtag.git/
528 cd c4m-jtag
529 python3 setup.py develop
530
531 Included is an IDCODE tap point, Wishbone Master (for direct memory read
532 and write, fully independent of the core), IOPad redirection and testing,
533 and general purpose shift register capability for any custom use.
534
535 We added a DMI to JTAG bridge in LibreSOC which is
536 directly connected to the core, to access registers and
537 to be able to start and stop the core and change the PC.
538 In combination with the JTAG Wishbone interface the test
539 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
540 can have a bootloader uploaded directly into onboard
541 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
542 execution begun.
543
544 [Chips4Makers](https://chips4makers.io/) make it possible for makers
545 and hobbyists to make their own open source chips.
546
547 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
548 an industry standard for verifying designs and testing printed circuit
549 boards after manufacture.
550
551 The [Wishbone
552 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
553 source hardware computer bus intended to let the parts of an integrated
554 circuit communicate with each other.
555
556 ## Coriolis2
557 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
558
559 ## Nextpnr
560
561 A portable FPGA place and route tool.
562
563 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
564 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
565 and the Lattice VERSA_ECP5.
566
567 ## Nextpnr-xilinx
568
569 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
570
571 One of the ways to get Arty A7 100t Digilent FPGA board working.
572
573 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
574
575
576 ## Verilator
577
578 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
579
580 Advise use only v4.106 at the moment.
581
582 See [[HDL_workflow/verilator]] page for installation instructions.
583
584 ## GHDL
585
586 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
587
588 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
589
590 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
591
592 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
593
594 See [[HDL_workflow/ghdl]] page for installation instructions.
595
596 ## Icarus Verilog
597
598 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
599
600 See [[HDL_workflow/iverilog]] page for installation instructions.
601
602 ## Cocotb
603
604 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
605
606 See [[HDL_workflow/cocotb]] page for installation instructions.
607
608 ## Symbiflow
609
610 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
611
612 One way to get the Arty A7 100t Digilent FPGA board working.
613
614 See [[HDL_workflow/symbiflow]] for installation instructions
615 and dependencies.
616
617 ## FPGA/Board Boot-Loaders-Programmers
618
619 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
620 OrangeCrab.
621
622 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
623 xc3sprog and ecpprog are going to be used.
624
625 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
626
627 ## ls2 peripheral fabric
628
629 [[HDL_workflow/ls2]]
630
631 # Registering for git repository access<a name="gitolite3_access"></a>
632
633 After going through the onboarding process and having agreed to take
634 responsibility for certain tasks, ask on the mailing list for git
635 repository access, sending in a public key (`id_rsa.pub`). If you do
636 not have one then generate it with `ssh-keygen -t rsa`. You will find it
637 in `~/.ssh`
638
639 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
640 account of being public, is perfectly fine to make... err... public.
641
642 Create a file `~/.ssh/config` with the following lines:
643
644 Host git.libre-soc.org
645 Port 922
646
647 Test that you have access with this command:
648
649 ssh -v -p922 gitolite3@git.libre-soc.org
650
651 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
652 port-scanning, and detection of password failures are used to instantly
653 ban IP addresses.
654
655 Wait for the Project Admin to confirm that the ssh key has been added
656 to the required repositories. Once confirmed, you can clone any of the
657 repos at https://git.libre-soc.org/:
658
659 git clone gitolite3@git.libre-soc.org:REPONAME.git
660
661 Alternatively, the .ssh/config can be skipped and this used:
662
663 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
664
665 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
666 fail2ban is running and, due to repeated persistent port-scanning spammers
667 is set up to instantly ban any unauthorised ssh access for up to two weeks.
668 This keeps log file sizes down on the server (which is resource-constrained).
669 If you are wondering why this is done, it's a *lot* of port-scans.
670
671 Therefore, *only* ssh in to server with the gitolite3 account, *only*
672 on port 922, and *only* once the systems administrator has given you
673 the all-clear that the ssh key has been added.
674
675 # git configuration
676
677 Although there are methods online which describe how (and why) these
678 settings are normally done, honestly it is simpler and easier to open
679 ~/.gitconfig and add them by hand.
680
681 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
682 files they don't become a pain. pull.rebase is something that is greatly
683 preferred for this project because it avoids the mess of "multiple
684 extra merge git tree entries", and branch.autosetuprebase=always will,
685 if you want it, always ensure that a new git checkout is set up with rebase.
686
687 [core]
688 autocrlf = input
689 [push]
690 default = simple
691 [pull]
692 rebase = true
693 [branch]
694 autosetuprebase = always
695
696 # Checking out the HDL repositories
697
698 Before running the following, install the
699 dependencies. This is easiest done with this script
700 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
701
702 **It is critically important to install these in STRICT order, otherwise
703 pip3 interferes and performs unauthorised downloads without informing
704 you of what it is doing**.
705
706 * mkdir ~/src
707 * cd !$
708 * git clone https://gitlab.com/nmigen/nmigen
709 * git clone https://gitlab.com/nmigen/nmigen-boards
710 * git clone https://gitlab.com/nmigen/nmigen-soc
711 * git clone https://gitlab.com/nmigen/nmigen-stdio
712 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
713 * git clone gitolite3@git.libre-soc.org:nmutil.git
714 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
715 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
716 * git clone gitolite3@git.libre-soc.org:soc.git
717
718 In each of these directories, **in the order listed**, track down the
719 `setup.py` file, then, as root (`sudo bash`), run the following:
720
721 * python3 setup.py develop
722
723 The reason for using "develop" mode is that the code may be edited
724 in-place yet still imported "globally". There are variants on this theme
725 for multi-user machine use however it is often just easier to get your
726 own machine these days.
727
728 The reason for the order is because soc depends on ieee754fpu, and
729 ieee754fpu depends on nmutil. If you do not follow the listed order
730 pip3 will go off and download an arbitrary version without your
731 consent.
732
733 If "`python3 setup.py install`" is used it is a pain: edit, then
734 install. edit, then install. It gets extremely tedious, hence why
735 "develop" was created.
736
737 If you prefer you can use this script instead: of course you checked it
738 in advance and accept full responsibility.
739 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
740
741 # Development Rules
742
743 Team communication:
744
745 * new members, add yourself to the [[about_us]] page and create yourself
746 a home page using someone else's page as a template.
747 * communicate on the mailing list or the bugtracker an intent to take
748 responsibility for a particular task.
749 * assign yourself as the bug's owner
750 * *keep in touch* about what you are doing, and why you are doing it.
751 * edit your home page regularly, particularly to track tasks so that
752 they can be paid by NLNet.
753 * if you cannot do something that you have taken responsibility for,
754 then unless it is a dire personal emergency please say so, on-list. we
755 won't mind. we'll help sort it out.
756
757 Regarding the above it is important that you read, understand, and agree
758 to the [[charter]] because the charter is about ensuring that we operate
759 as an effective organisation. It's *not* about "setting rules and meting
760 out punishment".
761
762 ## Coding
763
764 for actual code development
765
766 ### Plan unit tests
767
768 * plan in advance to write not just code but a full test suite for
769 that code. **this is not optional**. large python projects that do not
770 have unit tests **FAIL** (see separate section below).
771 * Prioritise writing formal proofs and a single clear unit test that is more
772 like a "worked example".
773 We receive NLNet funds for writing formal proofs, plus they
774 cover corner cases and take far less time to write
775
776 ### Commit tested or zero-dependent code
777
778 * only commit code that has been tested (or is presently unused). other
779 people will be depending on you, so do take care not to screw up.
780 not least because, as it says in the [[charter]] it will be your
781 responsibility to fix. that said, do not feel intimidated: ask for help
782 and advice, and you'll get it straight away.
783
784 ### Commit often
785
786 * commit often. several times a day, and "git push" it. this is
787 collaboration. if something is left even overnight uncommitted and not
788 pushed so that other people can see it, it is a red flag.
789 * if you find
790 yourself thinking "i'll commit it when it's finished" or "i don't want to
791 commit something that people might criticise" *this is not collaboration*,
792 it is making yourself a bottleneck. pair-programming is supposed to help
793 avoid this kind of thing however pair-programming is difficult to organise
794 for remote collaborative libre projects (suggestions welcomed here)
795
796 ### Enable editor auto-detection of file changes by external programs
797
798 This is important. "`git pull`" will merge in changes. If you then
799 arbitrarily save a file without re-loading it, you risk destroying
800 other people's work.
801
802 You can avoid damaging the repositories by following some simple procedures:
803
804 run appropriate unit tests
805 git pull
806 run appropriate unit tests again (checks other people's work)
807 git diff # and actually read and review the output
808 git status # check for any missing files
809 git commit # with appropriate arguments and message
810 git push # always always always do this
811
812 ### Absolutely no auto-generated output
813
814 * **do not commit autogenerated output**. write a shell script and commit
815 that, or add a `Makefile` to run the command that generates the output, but
816 **do not** add the actual output of **any** command to the repository.
817 ever. this is really important. even if it is a human-readable file
818 rather than a binary object file.
819 * it is very common to add PDFs (the result of running `latex2pdf`) or
820 configure.in (the result of running `automake`), they are an absolute
821 nuisance and interfere hugely with git diffs, as well as waste hard
822 disk space *and* network bandwidth. don't do it.
823 * do not add multi-megabyte or multi-gigabyte "test data".
824 use shell scripts and commit that, which automatically downloads the
825 "test data" from a well-known known-good reliable location instead.
826
827 ### Write commands that do tasks and commit those
828
829 * if the command needed to create any given autogenerated output is not
830 currently in the list of known project dependencies, first consult on
831 the list if it is okay to make that command become a hard dependency of
832 the project (hint: java, node.js php and .NET commands may cause delays
833 in response time due to other list participants laughing hysterically),
834 and after a decision is made, document the dependency and how its source
835 code is obtained and built (hence why it has to be discussed carefully)
836 * if you find yourself repeating commands regularly, chances are high
837 that someone else will need to run them, too. clearly this includes
838 yourself, therefore, to make everyone's lives easier including your own,
839 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
840 the repository and document them at the very minimum in the README,
841 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
842 ask on the mailing list for advice.
843
844 ### Keep commits single-purpose
845
846 * edit files making minimal *single purpose* modifications (even if
847 it involves multiple files. Good extreme example: globally changing
848 a function name across an entire codebase is one purpose, one commit,
849 yet hundreds of files. miss out one of those files, requiring multiple
850 commits, and it actually becomes a nuisance).
851
852 ### Run unit tests prior to commits
853
854 * prior to committing make sure that relevant unit tests pass, or that
855 the change is a zero-impact addition (no unit tests fail at the minimum)
856
857 ### Do not break existing code
858
859 * keep working code working **at all times**. find ways to ensure that
860 this is the case. examples include writing alternative classes that
861 replace existing functionality and adding runtime options to select
862 between old and new code.
863
864 ### Small commits with relevant commit message
865
866 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
867 (no "added this" or "changed that").
868 * if as you write you find that the commit message involves a *list* of
869 changes or the word "and", then STOP. do not proceed: it is a "red flag"
870 that the commit has not been properly broken down into separate-purpose
871 commits. ask for advice on-list on how to proceed.
872
873 ### Exceptions to small commit: atomic single purpose commit
874
875 * if it is essential to commit large amounts of code, ensure that it
876 is **not** in use **anywhere** by any other code. then make a *small*
877 (single purpose) followup commit which actually puts that code into use.
878
879 This last rule is kinda flexible, because if you add the code *and* add
880 the unit test *and* added it into the main code *and* ran all relevant
881 unit tests on all cascade-impacted areas by that change, that's perfectly
882 fine too. however if it is the end of a day, and you need to stop and
883 do not have time to run the necessary unit tests, do *not* commit the
884 change which integrates untested code: just commit the new code (only)
885 and follow up the next day *after* running the full relevant unit tests.
886
887 ### Why such strict rules?
888
889 The reason for all the above is because python is a dynamically typed
890 language. make one tiny change at the base level of the class hierarchy
891 and the effect may be disastrous.
892
893 It is therefore worth reiterating: make absolutely certain that you *only*
894 commit working code or zero-impact code.
895
896 Therefore, if you are absolutely certain that a new addition (new file,
897 new class, new function) is not going to have any side-effects, committing
898 it (a large amount of code) is perfectly fine.
899
900 As a general rule, however, do not use this an an excuse to write code
901 first then write unit tests as an afterthought. write *less* code *in
902 conjunction* with its (more basic) unit tests, instead. then, folliw up with
903 additions and improvements.
904
905 The reason for separating out commits to single purpose only becomes
906 obvious (and regretted if not followed) when, months later, a mistake
907 has to be tracked down and reverted. if the commit does not have an
908 easy-to-find message, it cannot even be located, and once found, if the
909 commit confuses several unrelated changes, not only the diff is larger
910 than it should be, the reversion process becomes extremely painful.
911
912 ### PHP-style python format-strings
913
914 As the name suggests, "PHP-style" is not given as a compliment.
915 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
916 to read. The lesson from PHP, Zope and Plone: when code is embedded,
917 the purpose of the formatting - the separation of the format from
918 the data to be placed in it - is merged, and consequently become
919 unreadable.
920
921 By contrast, let us imagine a situation where 12 variables need to
922 be inserted into a string, four of which are the same variablename:
923
924 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
925 var3, var4, var2,
926 var1, var9, var1,
927 var3, var4, var1)
928
929 This is just as unreadable, but for different reasons. Here it *is*
930 useful to do this as:
931
932 x = f"{var1} {var2} {var3}" \
933 ...
934 f"{var3} {var4} {var1}"
935
936 As a general rule, though, format-specifiers should be strongly
937 avoided, given that they mix even variable-names directly inside
938 a string.
939
940 This additionally gives text editors (and online web syntax
941 highlighters) the opportunity to colour syntax-highlight the
942 ASCII string (the format) from the variables to be inserted *into*
943 that format. gitweb for example (used by this project) cannot
944 highlight string-formatted code.
945
946 It turns out that colour is processed by the **opposite** hemisphere
947 of the brain from written language. Thus, colour-syntax-highlighting
948 is not just a "nice-to-have", it's **vital** for easier and faster
949 identification of context and an aid to rapid understanding.
950
951 Anything that interferes with that - such as python format-strings -
952 has to take a back seat, regardless of its perceived benefits.
953
954 **If you absolutely must** use python-format-strings, **only** do
955 so by restricting to variables. Create temporary variables if you
956 have to.
957
958 y = '/'.join(a_list)
959 x = f"{y}"
960
961 ### PEP8 format
962
963 * all code needs to conform to pep8. use either pep8checker or better
964 run autopep8. however whenever committing whitespace changes, *make a
965 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
966 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
967 you think you need greater than 80 chars, it *fundamentally* indicates
968 poor code design. split the code down further into smaller classes
969 and functions.
970
971 ### Docstring checker
972
973 * TBD there is a docstring checker. at the minimum make sure to have
974 an SPD license header, module header docstring, class docstring and
975 function docstrings on at least non-obvious functions.
976
977 ### Clear code commenting and docstrings
978
979 * make liberal but not excessive use of comments. describe a group of
980 lines of code, with terse but useful comments describing the purpose,
981 documenting any side-effects, and anything that could trip you or other
982 developers up. unusual coding techniques should *definitely* contain
983 a warning.
984
985 ### Only one class per module (ish)
986
987 * unless they are very closely related, only have one module (one class)
988 per file. a file only 25 lines long including imports and docstrings
989 is perfectly fine however don't force yourself. again, if unsure,
990 ask on-list.
991
992 ### File and Directory hierarchy
993
994 * *keep files short and simple*. see below as to why
995 * create a decent directory hierarchy but do not go mad. ask for advice
996 if unsure
997
998 ### No import star!
999
1000 * please do not use "from module import \*". it is extremely bad practice,
1001 causes unnecessary resource utilisation, makes code readability and
1002 tracking extremely difficult, and results in unintended side-effects.
1003
1004 Example: often you want to find the code from which a class was imported.
1005 nirmally you go to the top of the file, check the imports, and you know
1006 exactly which file has the class because of the import path. by using
1007 wildcards, you have absolutely *no clue* which wildcard imported which
1008 class or classes.
1009
1010 Example: sometimes you may accidentally have duplicate code maintained
1011 in two or more places. editing one of them you find, puzzlingly, that
1012 the code behaves in some files with the old behaviour, but in others it
1013 works. after a massive amount of investigation, you find that the working
1014 files happen to have a wildcard import of the newer accidental duplicate
1015 class **after** the wildcard import of the older class with exactly the
1016 same name. if you had used explicit imports, you would have spotted
1017 the double import of the class from two separate locations, immediately.
1018
1019 Really. don't. use. wildcards.
1020
1021 More about this here:
1022
1023 * <https://www.asmeurer.com/removestar/>
1024 * <https://rules.sonarsource.com/python/RSPEC-2208>
1025
1026 ### Keep file and variables short but clear
1027
1028 * try to keep both filenames and variable names short but not ridiculously
1029 obtuse. an interesting compromise on imports is "from ridiculousfilename
1030 import longsillyname as lsn", and to assign variables as well: "comb =
1031 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1032 that can reduce code indentation by 6 characters without reducing clarity.
1033
1034 Additionally, use comments just above an obtuse variable in order to
1035 help explain what it is for. In combination with keeping the the module
1036 itself short, other readers will not need to scroll back several pages
1037 in order to understand the code.
1038
1039 Yes it is tempting to actually use the variables as
1040 self-explanatory-comments and generally this can be extremely good
1041 practice. the problem comes when the variable is so long that a function
1042 with several parameters csn no longer fit on a single line, and takes
1043 up five to ten lines rather than one or two. at that point, the length
1044 of the code is adversely affected and thus so is readability by forcing
1045 readers to scroll through reams of pages.
1046
1047 It is a tricky balance: basically use your common sense, or just ask
1048 someone else, "can you understand this code?"
1049
1050 ### Reasons for code structure
1051
1052 Regarding code structure: we decided to go with small modules that are
1053 both easy to analyse, as well as fit onto a single page and be readable
1054 when displayed as a visual graph on a full UHD monitor. this is done
1055 as follows:
1056
1057 * using the capability of nmigen (TODO crossref to example) output the
1058 module to a yosys ilang (.il) file
1059 * in a separate terminal window, run yosys
1060 * at the yosys prompt type "read_ilang modulename.il"
1061 * type "show top" and a graphviz window should appear. note that typing
1062 show, then space, then pressing the tab key twice will give a full list
1063 of submodules (one of which will be "top")
1064
1065 You can now fullsize the graphviz window and scroll around. if it looks
1066 reasonably obvious at 100% zoom, i.e the connections can be clearly
1067 related in your mind back to the actual code (by matching the graph names
1068 against signals and modules in the original nmigen code) and the words are
1069 not tiny when zoomed out, and connections are not total incomprehensible
1070 spaghetti, then congratulations, you have well-designed code. If not,
1071 then this indicates a need to split the code further into submodules
1072 and do a bit more work.
1073
1074 The reasons for doing a proper modularisation job are several-fold:
1075
1076 * firstly, we will not be doing a full automated layout-and-hope
1077 using alliance/coriolis2, we will be doing leaf-node thru tree node
1078 half-automated half-manual layout, finally getting to the floorplan,
1079 then revising and iteratively adjusting.
1080 * secondly, examining modules at the gate level (or close to it) is just
1081 good practice. poor design creeps in by *not* knowing what the tools
1082 are actually doing (word to experienced developers: yes, we know that
1083 the yosys graph != final netlist).
1084 * thirdly, unit testing, particularly formal proofs, is far easier on
1085 small sections of code, and complete in a reasonable time.
1086
1087 ## Special warning / alert to vim users!
1088
1089 Some time around the beginning of 2019 some bright spark decided that
1090 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1091 idea to enable by default from that point onwards.
1092
1093 This incredibly annoying "feature" results in tabs (or spaces) being
1094 inserted "on your behalf" when you press return on one line, for your
1095 "convenience" of not needing to type lots of spaces/tabs just to get
1096 to the same indentation level.
1097
1098 Of course, this "feature", if you press return on one line in edit
1099 mode and then press "escape", leaves a bundle-of-joy extraneous
1100 whitespace **exactly** where you don't want it, and didn't ask for it,
1101 pooped all over your file.
1102
1103 Therefore, *please*: **before** running "git commit", get into the
1104 habit of always running "git diff", and at the very minimum
1105 speed-skim the entire diff, looking for tell-tale "red squares"
1106 (these show up under bash diff colour-syntax-highlighting) that
1107 inform you that, without your knowledge or consent, vim has
1108 "helpfully" inserted extraneous whitespace.
1109
1110 Remove them **before** git committing because they are not part
1111 of the actual desired code-modifications, and committing them
1112 is a major and constant distraction for reviewers about actual
1113 important things like "the code that actually *usefully* was
1114 modified for that commit"
1115
1116 This has the useful side-effect of ensuring that, right before
1117 the commit, you've got the actual diff right in front of you
1118 in the xterm window, on which you can base the "commit message".
1119
1120 ## Unit tests
1121
1122 For further reading, see the wikipedia page on
1123 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1124
1125 This deserves its own special section. It is extremely important to
1126 appreciate that without unit tests, python projects are simply unviable.
1127 Python itself has over 25,000 individual tests.
1128
1129 This can be quite overwhelming to a beginner developer, especially one
1130 used to writing scripts of only 100 lines in length.
1131
1132 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1133 proof is not only shorter, it's also far more readable and also, if
1134 written properly, provides 100% coverage of corner-cases that would
1135 otherwise be overlooked or require tens to hundreds of thousands of
1136 tests to be run.
1137
1138 No this is not a joke or even remotely hypothetical, this is an actual
1139 real-world problem.
1140
1141 The ieee754fpu requires several hundreds of thousands of tests to be
1142 run (currently needing several days to run them all), and even then we
1143 cannot be absolutely certain that all possible combinations of input have
1144 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1145 it is simply impossible to even try.
1146
1147 This is where formal proofs come into play.
1148
1149 Samuel illustrated to us that "ordinary" unit tests can then be written
1150 to *augment* the formal ones, serving the purpose of illustrating how
1151 to use the module, more than anything.
1152
1153 However it is appreciated that writing formal proofs is a bit of a
1154 black art. This is where team collaboration particularly kicks in,
1155 so if you need help, ask on the mailing list.
1156
1157 ## Don't comment out unit tests: add them first (as failures) and fix code later
1158
1159 Unit tests serve an additional critical purpose of keeping track of code
1160 that needs to be written. In many cases, you write the unit test *first*,
1161 despite knowing full well that the code doesn't even exist or is completely
1162 broken. The unit test then serves as a constant and important reminder
1163 to actually fix (or write) the code.
1164
1165 Therefore, *do not* comment out unit tests just because they "don't work".
1166 If you absolutely must stop a unit test from running, **do not delete it**.
1167 Simply mark it with an appropriate
1168 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1169 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1170 with further details as to why the unit test should not be run.
1171
1172 # Task management guidelines
1173
1174 1. Create the task in appropriate "Product" section with appropriate
1175 "Component" section. Most code tasks generally use "Libre-SOC's
1176 first SOC".
1177 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1178 Also add as many related ("See Also") links to other bugreports
1179 as possible. bugreports are never isolated.
1180 3. Choose the correct task for a budget allocation. Usually the parent
1181 task is used.
1182 4. Choose the correct NLnet milestone. The best practice is to check
1183 the parent task for a correct milestone.
1184 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1185 corresponds to your username and "SUM" corresponds to the actual
1186 budget in EUR. There may be multiple users.
1187 6. When the task is completed, you can begin writing an RFP.
1188 **DO NOT submit it without explicit authorisation and review**.
1189 Leave out your bank and personal address details if you prefer
1190 when sending to the Team Manager for review.
1191 7. Once the RFP is written, notify the Team Manager and obtain their
1192 explicit approval to send it.
1193 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1194 field to include the submitted date:
1195 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1196 `YYYY-MM-DD` form.
1197 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1198 and update `"USER={amount=SUM, submitted=SDATE}"`
1199 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1200 entered in `YYYY-MM-DD` form, too.
1201
1202 Throughout all of this you should be using budget-sync to check the
1203 database consistency
1204 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1205
1206 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1207
1208 # TODO Tutorials
1209
1210 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1211
1212 * Robert Baruch's nmigen tutorials look really good:
1213 <https://github.com/RobertBaruch/nmigen-tutorial>
1214 * Although a verilog example this is very useful to do
1215 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1216 * This tutorial looks pretty good and will get you started
1217 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1218 and walks not just through simulation, it takes you through using
1219 gtkwave as well.
1220 * There exist several nmigen examples which are also executable
1221 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1222 described in the above tutorial (python3 filename.py -h)
1223 * More nmigen tutorials at [[learning_nmigen]]