HDL_workflow.mdwn: Info on searching for bugs.
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 one.
137
138 ### Why raise issues
139
140 * [Bug #1126](https://bugs.libre-soc.org/show_bug.cgi?id=1126)
141
142 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
143 please raise a bug report!
144 Bug reports allow tracking of issues, both to make the developers lives easier,
145 as well as for tracking completed grant-funded work.
146
147 ####How to raise issues
148
149 1. Create a bug report.
150 2. Add in any links from the mailing list or IRC logs to the bug report for back tracking
151 (this is mandatory). Also fill in the URL field if there is a relevant wiki page.
152 3. CC in relevant team members
153 4. make absolutely sure to fill in "blocks", "depends on" or "see also" so that the
154 bug is not isolated (otherwise bugs are too hard to find if isolated from everything else)
155 5. Ping on IRC to say a bug has been created
156 6. Unless you know exactly which milestone to use, leave blank initially. This
157 also applies when editing milestone, budget parent/child, toml fields. See
158 section [[HDL_workflow#Task management guidelines]] further down.
159 7. After setting the milestone, it is **absolutely required** to run
160 [budget-sync](https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD),
161 as it will point out any discrepancies. The budget allocations will be used for
162 accounting purposes and **MUST** be correct. *Note you can only get paid for
163 stuff done **after the nlnet grant is approved** (before the MOU is signed)*
164
165 It is **extremely** important to link the new bug to previous ones. As Luke
166 mentioned on [this bug](https://bugs.libre-soc.org/show_bug.cgi?id=1139#c3),
167 "it is a mandatory project requirement that the graph from any bug
168 contain all other bugs (one "Group")".
169
170 The primary reason for this is to ensure bugs don't get buried and lost,
171 and will aid those tackling similar problems at a later time.
172
173 Also, for project management and financing purposes, it helps developers
174 to keep an up-to-date list of their tasks.
175
176 If a developer ever needs to check which bugs are assigned to them, go to the
177 Libre-SOC bug tracker
178 [advanced search page](https://bugs.libre-soc.org/query.cgi?format=advanced),
179 and in the "Search by People" section, check "Bug Assignee" and "contains"
180 and write your nickname (i.e. andrey etc.).
181
182 ## ikiwiki
183
184 Runs the main libre-soc.org site (including this page). effective,
185 stunningly light on resources, and uses a git repository not a database.
186 That means it can be edited offline.
187
188 Usual deal: register an account and you can start editing and contributing
189 straight away.
190
191 Hint: to create a new page, find a suitable page that would link to it,
192 first, then put the link in of the page you want to create, as if the
193 page already exists. Save that page, and you will find a question mark
194 next to the new link you created. click that link, and it will fire up a
195 "create new page" editor.
196
197 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
198
199 Hint again: the wiki is backed by a git repository. Don't go overboard
200 but at the same time do not be afraid that you might "damage" or "lose"
201 pages. Although it would be a minor pain, the pages can always be
202 reverted or edited by the sysadmins to restore things if you get in a tiz.
203
204 Assistance in creating a much better theme greatly appreciated. e.g.
205 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
206
207 ## git
208
209 We use git. More on this below. We also use
210 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
211 again, it is extremely effective and low resource utilisation. Reminder:
212 lions are involved if github is mentioned.
213
214 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
215 does a decent job. <https://git.libre-soc.org/>
216
217 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
218 tracks changes to files so that previous versions can be got back or
219 compared.
220
221 Checklist page [[HDL_workflow/git_checklist]]
222
223 ## ftp server
224
225 <https://ftp.libre-soc.org/> is available for storing large files
226 that do not belong in a git repository, if we have (or ever need)
227 any. Images (etc.) if small and appropriate should go into the
228 wiki, however .tgz archives (etc.) and, at some point, binaries,
229 should be on the ftp server.
230
231 Ask on the list if you have a file that belongs on the ftp server.
232
233 ## server
234
235 As an aside: all this is "old school" and run on a single core 512MB
236 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
237 mythic-beasts and means that the project is in no way dependent on anyone
238 else - not microsoft, not google, not facebook, not amazon.
239
240 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
241 don't ask to replace the above extremely resource-efficient services
242 with it.
243
244 # Hardware
245
246 RAM is the biggest requirement. Minimum 16GB, the more the better (32
247 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
248 critical: 256GB SSD should be more than adequate. Simulations and
249 FPGA compilations however are where raw processing power is a must.
250 High end Graphics Cards are nonessential.
251
252 What is particularly useful is to have hi-res screens (curved is
253 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
254 going "prism" through long term use), and to have several of them: the
255 more the better. Either a DisplayLink UD160A (or more modern variant)
256 or simply using a second machine (lower spec hardware because it will
257 run editors) is really effective.
258
259 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
260 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
261 than 16:9 particularly when using several of them. However, caveat
262 (details below): please when editing do not assume that everyone will
263 have access to such high resolution screens.
264
265 # Operating System
266
267 First install and become familiar with
268 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
269 if you absolutely
270 must) for standardisation cross-team and so that toolchain installation
271 is greatly simplified. yosys in particular warns that trying to use
272 Windows, BSD or MacOS will get you into a world of pain.
273
274 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
275 sufficient (alongside wicd-gtk for network management). Other more
276 complex desktops can be used however may consume greater resources.
277
278 # editors and editing
279
280 Whilst this is often a personal choice, the fact that many editors are
281 GUI based and run full-screen with the entire right hand side *and* middle
282 *and* the majority of the left side of the hi-res screen entirely unused
283 and bereft of text leaves experienced developers both amused and puzzled.
284
285 At the point where such full-screen users commit code with line lengths
286 well over 160 characters, that amusement quickly evaporates.
287
288 Where the problems occur with full-screen editor usage is when a project
289 is split into dozens if not hundreds of small files (as this one is). At
290 that point it becomes pretty much essential to have as many as six to
291 eight files open *and on-screen* at once, without overlaps i.e. not in
292 hidden tabs, next to at least two if not three additional free and clear
293 terminals into which commands are regularly and routinely typed (make,
294 git commit, nosetests3 etc). Illustrated with the following 3840x2160
295 screenshot (click to view full image), where *every one* of those 80x70
296 xterm windows is *relevant to the task at hand*.
297
298 [[!img 2020-01-24_11-56.png size=640x ]]
299
300 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
301 additionally requiring a mouse click, can save a huge amount of cumulative
302 development time here, switching between editor terminal(s) and the
303 command terminals).
304
305 Once this becomes necessary, it it turn implies that having greater
306 than 80 chars per line - and running editors full-screen - is a severe
307 hinderance to an essential *and highly effective* workflow technique.
308
309 Additionally, care should be taken to respect that not everyone will have
310 200+ column editor windows and the eyesight of a hawk. They may only have
311 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
312 Consequently, having excessively long functions is also a hindrance to
313 others, as such developers with limited screen resources would need to
314 continuously page-up and page-down to read the code even of a single
315 function, in full.
316
317 This helps explain in part, below, why compliance with
318 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
319 In short: not everyone has the same "modern" GUI workflow or has access
320 to the same computing resources as you, so please do respect that.
321
322 More on this concept is
323 [here](https://www.linuxjournal.com/content/line-length-limits).
324 Note *very pointedly* that Linus Torvalds *specifically* states that
325 he does not want Linux kernel development to become the exclusive
326 domain of the "wealthy". That means **no** to assumptions about
327 access to ultra-high resolution screens.
328
329 # Software prerequisites<a name="software-prerequisites"></a>
330
331 **Please make sure if you install manually that you install dependencies
332 in strict order. Failing to adhere to this will result in pip3 downloading
333 unauthorised older software versions. See
334 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
335
336 Whilst many resources online advocate "`sudo`" in front of all root-level
337 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
338 root prompt, and save yourself some typing.
339
340 * sudo bash
341 * apt-get install vim exuberant-ctags
342 * apt-get install build-essential
343 * apt-get install git python3.7 python3.7-dev python3-nose
344 * apt-get install graphviz xdot gtkwave
345 * apt-get install python3-venv
346 * apt-get install python-virtualenv # this is an alternative to python3-venv
347 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
348 * return to user prompt (ctrl-d)
349
350 (The above assumes that you are running Debian.)
351
352 This will get you python3 and other tools that are
353 needed. [graphviz](https://graphviz.org/) is essential
354 for showing the interconnections between cells, and
355 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
356
357 If you would like to save yourself a lot more typing, check out the
358 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
359 repository, examine the scripts there and use them to automate much of
360 the process below.
361
362 If you would like just to install only the apt dependencies use
363 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
364
365 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
366
367 ## git
368
369 Look up good tutorials on how to use git effectively. There are so many
370 it is hard to recommend one. This is however essential. If you are not
371 comfortable with git, and you let things stay that way, it will seriously
372 impede development progress.
373
374 If working all day you should expect to be making at least two commits per
375 hour, so should become familiar with it very quickly. If you are *not*
376 doing around 2 commits per hour, something is wrong and you should read
377 the workflow instructions below more carefully, and also ask for advice
378 on the mailing list.
379
380 Worth noting: *this project does not use branches*. All code is committed
381 to master and we *require* that it be either zero-impact additions or that
382 relevant unit tests pass 100%. This ensures that people's work does not
383 get "lost" or isolated and out of touch due to major branch diversion,
384 and that people communicate and coordinate with each other.
385
386 This is not a hard rule: under special cirmstances branches can be useful.
387 They should not however be considered "routine".
388
389 For advice on commit messages see
390 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
391 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
392
393 ## yosys
394
395 Follow the source code (git clone) instructions here, do **not** use
396 the "stable" version (do not download the tarball):
397 <https://github.com/YosysHQ/yosys>
398
399 Or, alternatively, use the
400 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
401 script (which also installs symbiyosys and its dependencies)
402
403 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
404 evolving and frequently interacts with yosys.
405
406 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
407 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
408 language.
409 RTL [Register Transfer
410 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
411 models how data moves between
412 [registers](https://en.wikipedia.org/wiki/Hardware_register).
413
414 ## symbiyosys
415
416 To install follow the [instructions
417 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
418 Once done look at [A simple BMC
419 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
420
421 You do not have to install all of those (avy, boolector can be left
422 out if desired) however the more that are installed the more effective
423 the formal proof scripts will be (less resource utilisation in certain
424 circumstances).
425
426 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
427 front-end driver program for Yosys-based formal hardware verification
428 flows.
429
430 ## nmigen (TM)
431
432 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
433
434 **PLEASE NOTE: it is critical to install nmigen as the first dependency
435 prior to installing any further python-based Libre-SOC HDL repositories.
436 If "pip3 list" shows that nmigen has been auto-installed please remove it**
437
438 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
439
440 * mkdir ~/src
441 * cd !$
442 * git clone https://gitlab.com/nmigen/nmigen.git
443 * cd nmigen
444 * sudo bash
445 * python3 setup.py develop
446 * ctrl-d
447
448 Testing can then be carried out with "python3 setup.py test"
449
450 nmigen is a Python toolbox for building complex digital hardware.
451
452 ## Softfloat and sfpy
453
454 These are a test suite dependency for the
455 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
456 library, and will be changed in the future to use Jacob's
457 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
458 In the meantime, sfpy can be built as follows:
459
460 git clone --recursive https://github.com/billzorn/sfpy.git
461 cd sfpy
462 cd SoftPosit
463 git apply ../softposit_sfpy_build.patch
464 git apply /path/to/ieee754fpu/SoftPosit.patch
465 cd ../berkely-softfloat-3
466 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
467 # it contains the same changes as this one
468 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
469 cd ..
470
471 # prepare a virtual environment for building
472 python3 -m venv .env
473
474 # or, if you prefer the old way:
475 # virtualenv -p python3 .env
476
477 # install dependencies
478 source .env/bin/activate
479 pip3 install --upgrade -r requirements.txt
480
481 # build
482 make lib -j$(nproc)
483 make cython
484 make inplace -j$(nproc)
485 make wheel
486
487 # install
488 deactivate # deactivates venv, optional
489 pip3 install dist/sfpy*.whl
490
491 You can test your installation by doing the following:
492
493 python3
494 >>> from sfpy import Posit8
495 >>> Posit8(1.3)
496
497 It should print out `Posit8(1.3125)`
498
499 ## qemu, cross-compilers, gdb
500
501 As we are doing POWER ISA, POWER ISA compilers, toolchains and
502 emulators are required.
503 Again, if you want to save yourself some typing, use the dev scripts.
504 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
505 script will install the qemu;
506 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
507 script will install the toolchain and the corresponding debugger.
508 The steps are provided below only for reference; when in doubt,
509 consider checking and running the scripts.
510
511 Install powerpc64 gcc:
512
513 apt-get install gcc-8-powerpc64-linux-gnu
514
515 Install qemu:
516
517 apt-get install qemu-system-ppc
518
519 Install gdb from source. Obtain the required tarball matching
520 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
521 unpack it, then:
522
523 cd gdb-8.3 (or other location)
524 mkdir build
525 cd build
526 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
527 make -j$(nproc)
528 make install
529
530 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
531 programs. [qemu](https://www.qemu.org/) emulates processors, you can
532 run programs under qemu.
533
534 ## power-instruction-analyzer (pia)
535
536 We have a custom tool built in Rust by programmerjake to help analyze
537 the OpenPower instructions' execution on *actual* hardware.
538
539 Install Rust:
540
541 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
542
543 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
544
545 rustup default stable
546 rustup update
547
548 Install the Python extension from git source by doing the following:
549
550 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
551 cd pia
552 ./libre-soc-install.sh
553
554 ## Chips4Makers JTAG
555
556 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
557 interface, instead require a full complete independent implementation
558 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
559 superb and well-written. The Libre-SOC version includes DMI (Debug
560 Memory Interface):
561
562 git clone https://git.libre-soc.org/git/c4m-jtag.git/
563 cd c4m-jtag
564 python3 setup.py develop
565
566 Included is an IDCODE tap point, Wishbone Master (for direct memory read
567 and write, fully independent of the core), IOPad redirection and testing,
568 and general purpose shift register capability for any custom use.
569
570 We added a DMI to JTAG bridge in LibreSOC which is
571 directly connected to the core, to access registers and
572 to be able to start and stop the core and change the PC.
573 In combination with the JTAG Wishbone interface the test
574 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
575 can have a bootloader uploaded directly into onboard
576 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
577 execution begun.
578
579 [Chips4Makers](https://chips4makers.io/) make it possible for makers
580 and hobbyists to make their own open source chips.
581
582 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
583 an industry standard for verifying designs and testing printed circuit
584 boards after manufacture.
585
586 The [Wishbone
587 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
588 source hardware computer bus intended to let the parts of an integrated
589 circuit communicate with each other.
590
591 ## Coriolis2
592 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
593
594 ## Nextpnr
595
596 A portable FPGA place and route tool.
597
598 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
599 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
600 and the Lattice VERSA_ECP5.
601
602 ## Nextpnr-xilinx
603
604 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
605
606 One of the ways to get Arty A7 100t Digilent FPGA board working.
607
608 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
609
610
611 ## Verilator
612
613 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
614
615 Advise use only v4.106 at the moment.
616
617 See [[HDL_workflow/verilator]] page for installation instructions.
618
619 ## GHDL
620
621 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
622
623 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
624
625 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
626
627 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
628
629 See [[HDL_workflow/ghdl]] page for installation instructions.
630
631 ## Icarus Verilog
632
633 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
634
635 See [[HDL_workflow/iverilog]] page for installation instructions.
636
637 ## Cocotb
638
639 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
640
641 See [[HDL_workflow/cocotb]] page for installation instructions.
642
643 ## Symbiflow
644
645 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
646
647 One way to get the Arty A7 100t Digilent FPGA board working.
648
649 See [[HDL_workflow/symbiflow]] for installation instructions
650 and dependencies.
651
652 ## FPGA/Board Boot-Loaders-Programmers
653
654 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
655 OrangeCrab.
656
657 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
658 xc3sprog and ecpprog are going to be used.
659
660 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
661
662 ## ls2 peripheral fabric
663
664 [[HDL_workflow/ls2]]
665
666 # Registering for git repository access<a name="gitolite3_access"></a>
667
668 After going through the onboarding process and having agreed to take
669 responsibility for certain tasks, ask on the mailing list for git
670 repository access, sending in a public key (`id_rsa.pub`). If you do
671 not have one then generate it with `ssh-keygen -t rsa`. You will find it
672 in `~/.ssh`
673
674 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
675 account of being public, is perfectly fine to make... err... public.
676
677 Create a file `~/.ssh/config` with the following lines:
678
679 Host git.libre-soc.org
680 Port 922
681
682 Test that you have access with this command:
683
684 ssh -v -p922 gitolite3@git.libre-soc.org
685
686 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
687 port-scanning, and detection of password failures are used to instantly
688 ban IP addresses.
689
690 Wait for the Project Admin to confirm that the ssh key has been added
691 to the required repositories. Once confirmed, you can clone any of the
692 repos at https://git.libre-soc.org/:
693
694 git clone gitolite3@git.libre-soc.org:REPONAME.git
695
696 Alternatively, the .ssh/config can be skipped and this used:
697
698 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
699
700 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
701 fail2ban is running and, due to repeated persistent port-scanning spammers
702 is set up to instantly ban any unauthorised ssh access for up to two weeks.
703 This keeps log file sizes down on the server (which is resource-constrained).
704 If you are wondering why this is done, it's a *lot* of port-scans.
705
706 Therefore, *only* ssh in to server with the gitolite3 account, *only*
707 on port 922, and *only* once the systems administrator has given you
708 the all-clear that the ssh key has been added.
709
710 # git configuration
711
712 Although there are methods online which describe how (and why) these
713 settings are normally done, honestly it is simpler and easier to open
714 ~/.gitconfig and add them by hand.
715
716 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
717 files they don't become a pain. pull.rebase is something that is greatly
718 preferred for this project because it avoids the mess of "multiple
719 extra merge git tree entries", and branch.autosetuprebase=always will,
720 if you want it, always ensure that a new git checkout is set up with rebase.
721
722 [core]
723 autocrlf = input
724 [push]
725 default = simple
726 [pull]
727 rebase = true
728 [branch]
729 autosetuprebase = always
730
731 # Checking out the HDL repositories
732
733 Before running the following, install the
734 dependencies. This is easiest done with this script
735 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
736
737 **It is critically important to install these in STRICT order, otherwise
738 pip3 interferes and performs unauthorised downloads without informing
739 you of what it is doing**.
740
741 * mkdir ~/src
742 * cd !$
743 * git clone https://gitlab.com/nmigen/nmigen
744 * git clone https://gitlab.com/nmigen/nmigen-boards
745 * git clone https://gitlab.com/nmigen/nmigen-soc
746 * git clone https://gitlab.com/nmigen/nmigen-stdio
747 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
748 * git clone gitolite3@git.libre-soc.org:nmutil.git
749 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
750 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
751 * git clone gitolite3@git.libre-soc.org:soc.git
752
753 In each of these directories, **in the order listed**, track down the
754 `setup.py` file, then, as root (`sudo bash`), run the following:
755
756 * python3 setup.py develop
757
758 The reason for using "develop" mode is that the code may be edited
759 in-place yet still imported "globally". There are variants on this theme
760 for multi-user machine use however it is often just easier to get your
761 own machine these days.
762
763 The reason for the order is because soc depends on ieee754fpu, and
764 ieee754fpu depends on nmutil. If you do not follow the listed order
765 pip3 will go off and download an arbitrary version without your
766 consent.
767
768 If "`python3 setup.py install`" is used it is a pain: edit, then
769 install. edit, then install. It gets extremely tedious, hence why
770 "develop" was created.
771
772 If you prefer you can use this script instead: of course you checked it
773 in advance and accept full responsibility.
774 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
775
776 # Development Rules
777
778 Team communication:
779
780 * new members, add yourself to the [[about_us]] page and create yourself
781 a home page using someone else's page as a template.
782 * communicate on the mailing list or the bugtracker an intent to take
783 responsibility for a particular task.
784 * assign yourself as the bug's owner
785 * *keep in touch* about what you are doing, and why you are doing it.
786 * edit your home page regularly, particularly to track tasks so that
787 they can be paid by NLNet.
788 * if you cannot do something that you have taken responsibility for,
789 then unless it is a dire personal emergency please say so, on-list. we
790 won't mind. we'll help sort it out.
791
792 Regarding the above it is important that you read, understand, and agree
793 to the [[charter]] because the charter is about ensuring that we operate
794 as an effective organisation. It's *not* about "setting rules and meting
795 out punishment".
796
797 ## Coding
798
799 for actual code development
800
801 ### Plan unit tests
802
803 * plan in advance to write not just code but a full test suite for
804 that code. **this is not optional**. large python projects that do not
805 have unit tests **FAIL** (see separate section below).
806 * Prioritise writing formal proofs and a single clear unit test that is more
807 like a "worked example".
808 We receive NLNet funds for writing formal proofs, plus they
809 cover corner cases and take far less time to write
810
811 ### Commit tested or zero-dependent code
812
813 * only commit code that has been tested (or is presently unused). other
814 people will be depending on you, so do take care not to screw up.
815 not least because, as it says in the [[charter]] it will be your
816 responsibility to fix. that said, do not feel intimidated: ask for help
817 and advice, and you'll get it straight away.
818
819 ### Commit often
820
821 * commit often. several times a day, and "git push" it. this is
822 collaboration. if something is left even overnight uncommitted and not
823 pushed so that other people can see it, it is a red flag.
824 * if you find
825 yourself thinking "i'll commit it when it's finished" or "i don't want to
826 commit something that people might criticise" *this is not collaboration*,
827 it is making yourself a bottleneck. pair-programming is supposed to help
828 avoid this kind of thing however pair-programming is difficult to organise
829 for remote collaborative libre projects (suggestions welcomed here)
830
831 ### Enable editor auto-detection of file changes by external programs
832
833 This is important. "`git pull`" will merge in changes. If you then
834 arbitrarily save a file without re-loading it, you risk destroying
835 other people's work.
836
837 You can avoid damaging the repositories by following some simple procedures:
838
839 run appropriate unit tests
840 git pull
841 run appropriate unit tests again (checks other people's work)
842 git diff # and actually read and review the output
843 git status # check for any missing files
844 git commit # with appropriate arguments and message
845 git push # always always always do this
846
847 ### Absolutely no auto-generated output
848
849 * **do not commit autogenerated output**. write a shell script and commit
850 that, or add a `Makefile` to run the command that generates the output, but
851 **do not** add the actual output of **any** command to the repository.
852 ever. this is really important. even if it is a human-readable file
853 rather than a binary object file.
854 * it is very common to add PDFs (the result of running `latex2pdf`) or
855 configure.in (the result of running `automake`), they are an absolute
856 nuisance and interfere hugely with git diffs, as well as waste hard
857 disk space *and* network bandwidth. don't do it.
858 * do not add multi-megabyte or multi-gigabyte "test data".
859 use shell scripts and commit that, which automatically downloads the
860 "test data" from a well-known known-good reliable location instead.
861
862 ### Write commands that do tasks and commit those
863
864 * if the command needed to create any given autogenerated output is not
865 currently in the list of known project dependencies, first consult on
866 the list if it is okay to make that command become a hard dependency of
867 the project (hint: java, node.js php and .NET commands may cause delays
868 in response time due to other list participants laughing hysterically),
869 and after a decision is made, document the dependency and how its source
870 code is obtained and built (hence why it has to be discussed carefully)
871 * if you find yourself repeating commands regularly, chances are high
872 that someone else will need to run them, too. clearly this includes
873 yourself, therefore, to make everyone's lives easier including your own,
874 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
875 the repository and document them at the very minimum in the README,
876 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
877 ask on the mailing list for advice.
878
879 ### Keep commits single-purpose
880
881 * edit files making minimal *single purpose* modifications (even if
882 it involves multiple files. Good extreme example: globally changing
883 a function name across an entire codebase is one purpose, one commit,
884 yet hundreds of files. miss out one of those files, requiring multiple
885 commits, and it actually becomes a nuisance).
886
887 ### Run unit tests prior to commits
888
889 * prior to committing make sure that relevant unit tests pass, or that
890 the change is a zero-impact addition (no unit tests fail at the minimum)
891
892 ### Do not break existing code
893
894 * keep working code working **at all times**. find ways to ensure that
895 this is the case. examples include writing alternative classes that
896 replace existing functionality and adding runtime options to select
897 between old and new code.
898
899 ### Small commits with relevant commit message
900
901 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
902 (no "added this" or "changed that").
903 * if as you write you find that the commit message involves a *list* of
904 changes or the word "and", then STOP. do not proceed: it is a "red flag"
905 that the commit has not been properly broken down into separate-purpose
906 commits. ask for advice on-list on how to proceed.
907
908 ### Exceptions to small commit: atomic single purpose commit
909
910 * if it is essential to commit large amounts of code, ensure that it
911 is **not** in use **anywhere** by any other code. then make a *small*
912 (single purpose) followup commit which actually puts that code into use.
913
914 This last rule is kinda flexible, because if you add the code *and* add
915 the unit test *and* added it into the main code *and* ran all relevant
916 unit tests on all cascade-impacted areas by that change, that's perfectly
917 fine too. however if it is the end of a day, and you need to stop and
918 do not have time to run the necessary unit tests, do *not* commit the
919 change which integrates untested code: just commit the new code (only)
920 and follow up the next day *after* running the full relevant unit tests.
921
922 ### Why such strict rules?
923
924 The reason for all the above is because python is a dynamically typed
925 language. make one tiny change at the base level of the class hierarchy
926 and the effect may be disastrous.
927
928 It is therefore worth reiterating: make absolutely certain that you *only*
929 commit working code or zero-impact code.
930
931 Therefore, if you are absolutely certain that a new addition (new file,
932 new class, new function) is not going to have any side-effects, committing
933 it (a large amount of code) is perfectly fine.
934
935 As a general rule, however, do not use this an an excuse to write code
936 first then write unit tests as an afterthought. write *less* code *in
937 conjunction* with its (more basic) unit tests, instead. then, folliw up with
938 additions and improvements.
939
940 The reason for separating out commits to single purpose only becomes
941 obvious (and regretted if not followed) when, months later, a mistake
942 has to be tracked down and reverted. if the commit does not have an
943 easy-to-find message, it cannot even be located, and once found, if the
944 commit confuses several unrelated changes, not only the diff is larger
945 than it should be, the reversion process becomes extremely painful.
946
947 ### PHP-style python format-strings
948
949 As the name suggests, "PHP-style" is not given as a compliment.
950 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
951 to read. The lesson from PHP, Zope and Plone: when code is embedded,
952 the purpose of the formatting - the separation of the format from
953 the data to be placed in it - is merged, and consequently become
954 unreadable.
955
956 By contrast, let us imagine a situation where 12 variables need to
957 be inserted into a string, four of which are the same variablename:
958
959 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
960 var3, var4, var2,
961 var1, var9, var1,
962 var3, var4, var1)
963
964 This is just as unreadable, but for different reasons. Here it *is*
965 useful to do this as:
966
967 x = f"{var1} {var2} {var3}" \
968 ...
969 f"{var3} {var4} {var1}"
970
971 As a general rule, though, format-specifiers should be strongly
972 avoided, given that they mix even variable-names directly inside
973 a string.
974
975 This additionally gives text editors (and online web syntax
976 highlighters) the opportunity to colour syntax-highlight the
977 ASCII string (the format) from the variables to be inserted *into*
978 that format. gitweb for example (used by this project) cannot
979 highlight string-formatted code.
980
981 It turns out that colour is processed by the **opposite** hemisphere
982 of the brain from written language. Thus, colour-syntax-highlighting
983 is not just a "nice-to-have", it's **vital** for easier and faster
984 identification of context and an aid to rapid understanding.
985
986 Anything that interferes with that - such as python format-strings -
987 has to take a back seat, regardless of its perceived benefits.
988
989 **If you absolutely must** use python-format-strings, **only** do
990 so by restricting to variables. Create temporary variables if you
991 have to.
992
993 y = '/'.join(a_list)
994 x = f"{y}"
995
996 ### PEP8 format
997
998 * all code needs to conform to pep8. use either pep8checker or better
999 run autopep8. however whenever committing whitespace changes, *make a
1000 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
1001 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
1002 you think you need greater than 80 chars, it *fundamentally* indicates
1003 poor code design. split the code down further into smaller classes
1004 and functions.
1005
1006 ### Docstring checker
1007
1008 * TBD there is a docstring checker. at the minimum make sure to have
1009 an SPD license header, module header docstring, class docstring and
1010 function docstrings on at least non-obvious functions.
1011
1012 ### Clear code commenting and docstrings
1013
1014 * make liberal but not excessive use of comments. describe a group of
1015 lines of code, with terse but useful comments describing the purpose,
1016 documenting any side-effects, and anything that could trip you or other
1017 developers up. unusual coding techniques should *definitely* contain
1018 a warning.
1019
1020 ### Only one class per module (ish)
1021
1022 * unless they are very closely related, only have one module (one class)
1023 per file. a file only 25 lines long including imports and docstrings
1024 is perfectly fine however don't force yourself. again, if unsure,
1025 ask on-list.
1026
1027 ### File and Directory hierarchy
1028
1029 * *keep files short and simple*. see below as to why
1030 * create a decent directory hierarchy but do not go mad. ask for advice
1031 if unsure
1032
1033 ### No import star!
1034
1035 * please do not use "from module import \*". it is extremely bad practice,
1036 causes unnecessary resource utilisation, makes code readability and
1037 tracking extremely difficult, and results in unintended side-effects.
1038
1039 Example: often you want to find the code from which a class was imported.
1040 nirmally you go to the top of the file, check the imports, and you know
1041 exactly which file has the class because of the import path. by using
1042 wildcards, you have absolutely *no clue* which wildcard imported which
1043 class or classes.
1044
1045 Example: sometimes you may accidentally have duplicate code maintained
1046 in two or more places. editing one of them you find, puzzlingly, that
1047 the code behaves in some files with the old behaviour, but in others it
1048 works. after a massive amount of investigation, you find that the working
1049 files happen to have a wildcard import of the newer accidental duplicate
1050 class **after** the wildcard import of the older class with exactly the
1051 same name. if you had used explicit imports, you would have spotted
1052 the double import of the class from two separate locations, immediately.
1053
1054 Really. don't. use. wildcards.
1055
1056 More about this here:
1057
1058 * <https://www.asmeurer.com/removestar/>
1059 * <https://rules.sonarsource.com/python/RSPEC-2208>
1060
1061 ### Keep file and variables short but clear
1062
1063 * try to keep both filenames and variable names short but not ridiculously
1064 obtuse. an interesting compromise on imports is "from ridiculousfilename
1065 import longsillyname as lsn", and to assign variables as well: "comb =
1066 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1067 that can reduce code indentation by 6 characters without reducing clarity.
1068
1069 Additionally, use comments just above an obtuse variable in order to
1070 help explain what it is for. In combination with keeping the the module
1071 itself short, other readers will not need to scroll back several pages
1072 in order to understand the code.
1073
1074 Yes it is tempting to actually use the variables as
1075 self-explanatory-comments and generally this can be extremely good
1076 practice. the problem comes when the variable is so long that a function
1077 with several parameters csn no longer fit on a single line, and takes
1078 up five to ten lines rather than one or two. at that point, the length
1079 of the code is adversely affected and thus so is readability by forcing
1080 readers to scroll through reams of pages.
1081
1082 It is a tricky balance: basically use your common sense, or just ask
1083 someone else, "can you understand this code?"
1084
1085 ### Reasons for code structure
1086
1087 Regarding code structure: we decided to go with small modules that are
1088 both easy to analyse, as well as fit onto a single page and be readable
1089 when displayed as a visual graph on a full UHD monitor. this is done
1090 as follows:
1091
1092 * using the capability of nmigen (TODO crossref to example) output the
1093 module to a yosys ilang (.il) file
1094 * in a separate terminal window, run yosys
1095 * at the yosys prompt type "read_ilang modulename.il"
1096 * type "show top" and a graphviz window should appear. note that typing
1097 show, then space, then pressing the tab key twice will give a full list
1098 of submodules (one of which will be "top")
1099
1100 You can now fullsize the graphviz window and scroll around. if it looks
1101 reasonably obvious at 100% zoom, i.e the connections can be clearly
1102 related in your mind back to the actual code (by matching the graph names
1103 against signals and modules in the original nmigen code) and the words are
1104 not tiny when zoomed out, and connections are not total incomprehensible
1105 spaghetti, then congratulations, you have well-designed code. If not,
1106 then this indicates a need to split the code further into submodules
1107 and do a bit more work.
1108
1109 The reasons for doing a proper modularisation job are several-fold:
1110
1111 * firstly, we will not be doing a full automated layout-and-hope
1112 using alliance/coriolis2, we will be doing leaf-node thru tree node
1113 half-automated half-manual layout, finally getting to the floorplan,
1114 then revising and iteratively adjusting.
1115 * secondly, examining modules at the gate level (or close to it) is just
1116 good practice. poor design creeps in by *not* knowing what the tools
1117 are actually doing (word to experienced developers: yes, we know that
1118 the yosys graph != final netlist).
1119 * thirdly, unit testing, particularly formal proofs, is far easier on
1120 small sections of code, and complete in a reasonable time.
1121
1122 ## Special warning / alert to vim users!
1123
1124 Some time around the beginning of 2019 some bright spark decided that
1125 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1126 idea to enable by default from that point onwards.
1127
1128 This incredibly annoying "feature" results in tabs (or spaces) being
1129 inserted "on your behalf" when you press return on one line, for your
1130 "convenience" of not needing to type lots of spaces/tabs just to get
1131 to the same indentation level.
1132
1133 Of course, this "feature", if you press return on one line in edit
1134 mode and then press "escape", leaves a bundle-of-joy extraneous
1135 whitespace **exactly** where you don't want it, and didn't ask for it,
1136 pooped all over your file.
1137
1138 Therefore, *please*: **before** running "git commit", get into the
1139 habit of always running "git diff", and at the very minimum
1140 speed-skim the entire diff, looking for tell-tale "red squares"
1141 (these show up under bash diff colour-syntax-highlighting) that
1142 inform you that, without your knowledge or consent, vim has
1143 "helpfully" inserted extraneous whitespace.
1144
1145 Remove them **before** git committing because they are not part
1146 of the actual desired code-modifications, and committing them
1147 is a major and constant distraction for reviewers about actual
1148 important things like "the code that actually *usefully* was
1149 modified for that commit"
1150
1151 This has the useful side-effect of ensuring that, right before
1152 the commit, you've got the actual diff right in front of you
1153 in the xterm window, on which you can base the "commit message".
1154
1155 ## Unit tests
1156
1157 For further reading, see the wikipedia page on
1158 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1159
1160 This deserves its own special section. It is extremely important to
1161 appreciate that without unit tests, python projects are simply unviable.
1162 Python itself has over 25,000 individual tests.
1163
1164 This can be quite overwhelming to a beginner developer, especially one
1165 used to writing scripts of only 100 lines in length.
1166
1167 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1168 proof is not only shorter, it's also far more readable and also, if
1169 written properly, provides 100% coverage of corner-cases that would
1170 otherwise be overlooked or require tens to hundreds of thousands of
1171 tests to be run.
1172
1173 No this is not a joke or even remotely hypothetical, this is an actual
1174 real-world problem.
1175
1176 The ieee754fpu requires several hundreds of thousands of tests to be
1177 run (currently needing several days to run them all), and even then we
1178 cannot be absolutely certain that all possible combinations of input have
1179 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1180 it is simply impossible to even try.
1181
1182 This is where formal proofs come into play.
1183
1184 Samuel illustrated to us that "ordinary" unit tests can then be written
1185 to *augment* the formal ones, serving the purpose of illustrating how
1186 to use the module, more than anything.
1187
1188 However it is appreciated that writing formal proofs is a bit of a
1189 black art. This is where team collaboration particularly kicks in,
1190 so if you need help, ask on the mailing list.
1191
1192 ## Don't comment out unit tests: add them first (as failures) and fix code later
1193
1194 Unit tests serve an additional critical purpose of keeping track of code
1195 that needs to be written. In many cases, you write the unit test *first*,
1196 despite knowing full well that the code doesn't even exist or is completely
1197 broken. The unit test then serves as a constant and important reminder
1198 to actually fix (or write) the code.
1199
1200 Therefore, *do not* comment out unit tests just because they "don't work".
1201 If you absolutely must stop a unit test from running, **do not delete it**.
1202 Simply mark it with an appropriate
1203 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1204 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1205 with further details as to why the unit test should not be run.
1206
1207 # Task management guidelines
1208
1209 1. Create the task in appropriate "Product" section with appropriate
1210 "Component" section. Most code tasks generally use "Libre-SOC's
1211 first SOC".
1212 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1213 Also add as many related ("See Also") links to other bugreports
1214 as possible. bugreports are never isolated.
1215 3. Choose the correct task for a budget allocation. Usually the parent
1216 task is used.
1217 4. Choose the correct NLnet milestone. The best practice is to check
1218 the parent task for a correct milestone.
1219 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1220 corresponds to your username and "SUM" corresponds to the actual
1221 budget in EUR. There may be multiple users.
1222 6. When the task is completed, you can begin writing an RFP.
1223 **DO NOT submit it without explicit authorisation and review**.
1224 Leave out your bank and personal address details if you prefer
1225 when sending to the Team Manager for review.
1226 7. Once the RFP is written, notify the Team Manager and obtain their
1227 explicit approval to send it.
1228 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1229 field to include the submitted date:
1230 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1231 `YYYY-MM-DD` form.
1232 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1233 and update `"USER={amount=SUM, submitted=SDATE}"`
1234 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1235 entered in `YYYY-MM-DD` form, too.
1236
1237 Throughout all of this you should be using budget-sync to check the
1238 database consistency
1239 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1240
1241 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1242
1243 # TODO Tutorials
1244
1245 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1246
1247 * Robert Baruch's nmigen tutorials look really good:
1248 <https://github.com/RobertBaruch/nmigen-tutorial>
1249 * Although a verilog example this is very useful to do
1250 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1251 * This tutorial looks pretty good and will get you started
1252 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1253 and walks not just through simulation, it takes you through using
1254 gtkwave as well.
1255 * There exist several nmigen examples which are also executable
1256 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1257 described in the above tutorial (python3 filename.py -h)
1258 * More nmigen tutorials at [[learning_nmigen]]