experimental conversion of ptw.sv
[soc.git] / TLB / src / ariane / ptw.py
1 """
2 # Copyright 2018 ETH Zurich and University of Bologna.
3 # Copyright and related rights are licensed under the Solderpad Hardware
4 # License, Version 0.51 (the "License"); you may not use this file except in
5 # compliance with the License. You may obtain a copy of the License at
6 # http:#solderpad.org/licenses/SHL-0.51. Unless required by applicable law
7 # or agreed to in writing, software, hardware and materials distributed under
8 # this License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
9 # CONDITIONS OF ANY KIND, either express or implied. See the License for the
10 # specific language governing permissions and limitations under the License.
11 #
12 # Author: David Schaffenrath, TU Graz
13 # Author: Florian Zaruba, ETH Zurich
14 # Date: 24.4.2017
15 # Description: Hardware-PTW
16
17 /* verilator lint_off WIDTH */
18 import ariane_pkg::*;
19 """
20
21 class DCacheReqI:
22 def __init__(self):
23 self.address_index = Signal(DCACHE_INDEX_WIDTH)
24 self.address_tag = Signal(DCACHE_TAG_WIDTH)
25 self.data_wdata = Signal(64)
26 self.data_req = Signal()
27 self.data_we = Signal()
28 self.data_be = Signal(8)
29 self.data_size = Signal(2)
30 self.kill_req = Signal()
31 self.tag_valid = Signal()
32
33
34 class DCacheReqO:
35 def __init__(self):
36 data_gnt = Signal()
37 data_rvalid = Signal()
38 data_rdata = Signal(64)
39
40 ASID_WIDTH = 1
41
42 class PTE(RecordObject):
43 def __init__(self):
44 self.reserved = Signal(10)
45 self.ppn = Signal(44)
46 self.rsw = Signal(2)
47 self.d = Signal()
48 self.a = Signal()
49 self.g = Signal()
50 self.u = Signal()
51 self.x = Signal()
52 self.w = Signal()
53 self.r = Signal()
54 self.v = Signal()
55
56
57 class TLBUpdate:
58 def __init__(self):
59 valid = Signal() # valid flag
60 is_2M = Signal()
61 is_1G = Signal()
62 vpn = Signal(27)
63 asid = Signal(ASID_WIDTH)
64 content = PTE()
65
66 IDLE = 0
67 WAIT_GRANT = 1
68 PTE_LOOKUP = 2
69 WAIT_RVALID = 3
70 PROPAGATE_ERROR = 4
71
72 # SV39 defines three levels of page tables
73 LVL1 = Const(0, 2)
74 LVL2 = Const(1, 2)
75 LVL3 = Const(2, 2)
76
77
78 class PTW:
79 flush_i = Signal() # flush everything, we need to do this because
80 # actually everything we do is speculative at this stage
81 # e.g.: there could be a CSR instruction that changes everything
82 ptw_active_o = Signal()
83 walking_instr_o = Signal() # set when walking for TLB
84 ptw_error_o = Signal() # set when an error occurred
85 enable_translation_i = Signal() # CSRs indicate to enable SV39
86 en_ld_st_translation_i = Signal() # enable VM translation for load/stores
87
88 lsu_is_store_i = Signal() , # this translation triggered by a store
89 # PTW memory interface
90 req_port_i = DCacheReqO()
91 req_port_o = DCacheReqI()
92
93 # to TLBs, update logic
94 itlb_update_o = TLBUpdate()
95 dtlb_update_o = TLBUpdate()
96
97 update_vaddr_o = Signal(39)
98
99 asid_i = Signal(ASID_WIDTH)
100 # from TLBs
101 # did we miss?
102 itlb_access_i = Signal()
103 itlb_hit_i = Signal()
104 itlb_vaddr_i = Signal(64)
105
106 dtlb_access_i = Signal()
107 dtlb_hit_i = Signal()
108 dtlb_vaddr_i = Signal(64)
109 # from CSR file
110 satp_ppn_i = Signal(44) # ppn from satp
111 mxr_i = Signal()
112 # Performance counters
113 itlb_miss_o = Signal()
114 dtlb_miss_o = Signal()
115
116 );
117
118 # input registers
119 data_rvalid_q = Signal()
120 data_rdata_q = Signal(64)
121
122 pte = PTE()
123 assign pte = riscv::pte_t(data_rdata_q);
124
125 # is this an instruction page table walk?
126 is_instr_ptw_q = Signal()
127 is_instr_ptw_n = Signal()
128 global_mapping_q = Signal()
129 global_mapping_n = Signal()
130 # latched tag signal
131 tag_valid_n = Signal()
132 tag_valid_q = Signal()
133 # register the ASID
134 tlb_update_asid_q = Signal(ASID_WIDTH)
135 tlb_update_asid_n = Signal(ASID_WIDTH)
136 # register the VPN we need to walk, SV39 defines a 39 bit virtual address
137 vaddr_q = Signal(64)
138 vaddr_n = Signal(64)
139 # 4 byte aligned physical pointer
140 ptw_pptr_q = Signal(56)
141 ptw_pptr_n = Signal(56)
142
143 end = DCACHE_INDEX_WIDTH + DCACHE_TAG_WIDTH
144 m.d.comb += [
145 # Assignments
146 update_vaddr_o.eq(vaddr_q),
147
148 ptw_active_o.eq(state_q != IDLE),
149 walking_instr_o.eq(is_instr_ptw_q),
150 # directly output the correct physical address
151 req_port_o.address_index.eq(ptw_pptr_q[0:DCACHE_INDEX_WIDTH]),
152 req_port_o.address_tag.eq(ptw_pptr_q[DCACHE_INDEX_WIDTH:end]),
153 # we are never going to kill this request
154 req_port_o.kill_req.eq(0),
155 # we are never going to write with the HPTW
156 req_port_o.data_wdata.eq(Const(0, 64)),
157 # -----------
158 # TLB Update
159 # -----------
160 itlb_update_o.vpn.eq(vaddr_q[12:39]),
161 dtlb_update_o.vpn.eq(vaddr_q[12:39]),
162 # update the correct page table level
163 itlb_update_o.is_2M.eq(ptw_lvl_q == LVL2),
164 itlb_update_o.is_1G.eq(ptw_lvl_q == LVL1),
165 dtlb_update_o.is_2M.eq(ptw_lvl_q == LVL2),
166 dtlb_update_o.is_1G.eq(ptw_lvl_q == LVL1),
167 # output the correct ASID
168 itlb_update_o.asid.eq(tlb_update_asid_q),
169 dtlb_update_o.asid.eq(tlb_update_asid_q),
170 # set the global mapping bit
171 itlb_update_o.content.eq(pte | (global_mapping_q << 5)),
172 dtlb_update_o.content.eq(pte | (global_mapping_q << 5)),
173
174 req_port_o.tag_valid.eq(tag_valid_q),
175 ]
176 #-------------------
177 # Page table walker
178 #-------------------
179 # A virtual address va is translated into a physical address pa as follows:
180 # 1. Let a be sptbr.ppn × PAGESIZE, and let i = LEVELS-1. (For Sv39,
181 # PAGESIZE=2^12 and LEVELS=3.)
182 # 2. Let pte be the value of the PTE at address a+va.vpn[i]×PTESIZE. (For
183 # Sv32, PTESIZE=4.)
184 # 3. If pte.v = 0, or if pte.r = 0 and pte.w = 1, stop and raise an access
185 # exception.
186 # 4. Otherwise, the PTE is valid. If pte.r = 1 or pte.x = 1, go to step 5.
187 # Otherwise, this PTE is a pointer to the next level of the page table.
188 # Let i=i-1. If i < 0, stop and raise an access exception. Otherwise, let
189 # a = pte.ppn × PAGESIZE and go to step 2.
190 # 5. A leaf PTE has been found. Determine if the requested memory access
191 # is allowed by the pte.r, pte.w, and pte.x bits. If not, stop and
192 # raise an access exception. Otherwise, the translation is successful.
193 # Set pte.a to 1, and, if the memory access is a store, set pte.d to 1.
194 # The translated physical address is given as follows:
195 # - pa.pgoff = va.pgoff.
196 # - If i > 0, then this is a superpage translation and
197 # pa.ppn[i-1:0] = va.vpn[i-1:0].
198 # - pa.ppn[LEVELS-1:i] = pte.ppn[LEVELS-1:i].
199 always_comb begin : ptw
200 # default assignments
201 # PTW memory interface
202 m.d.comb += [
203 tag_valid_n.eq(0),
204 req_port_o.data_req.eq(0),
205 req_port_o.data_be.eq(Const(0xFF, 8))
206 req_port_o.data_size.eq(Const(0bb11, 2))
207 req_port_o.data_we.eq(0),
208 ptw_error_o.eq(0),
209 itlb_update_o.valid.eq(0)
210 dtlb_update_o.valid.eq(0),
211 is_instr_ptw_n.eq(is_instr_ptw_q),
212 ptw_lvl_n.eq(ptw_lvl_q),
213 ptw_pptr_n.eq(ptw_pptr_q),
214 state_d.eq(state_q),
215 global_mapping_n.eq(global_mapping_q),
216 # input registers
217 tlb_update_asid_n.eq(tlb_update_asid_q),
218 vaddr_n.eq(vaddr_q),
219
220 itlb_miss_o.eq(0),
221 dtlb_miss_o.eq(0),
222 ]
223
224 case (state_q)
225
226 IDLE: begin
227 # by default we start with the top-most page table
228 ptw_lvl_n = LVL1;
229 global_mapping_n = 1'b0;
230 is_instr_ptw_n = 1'b0;
231 # if we got an ITLB miss
232 if (enable_translation_i & itlb_access_i & ~itlb_hit_i & ~dtlb_access_i) begin
233 ptw_pptr_n = {satp_ppn_i, itlb_vaddr_i[38:30], 3'b0};
234 is_instr_ptw_n = 1'b1;
235 tlb_update_asid_n = asid_i;
236 vaddr_n = itlb_vaddr_i;
237 state_d = WAIT_GRANT;
238 itlb_miss_o = 1'b1;
239 # we got an DTLB miss
240 end else if (en_ld_st_translation_i & dtlb_access_i & ~dtlb_hit_i) begin
241 ptw_pptr_n = {satp_ppn_i, dtlb_vaddr_i[38:30], 3'b0};
242 tlb_update_asid_n = asid_i;
243 vaddr_n = dtlb_vaddr_i;
244 state_d = WAIT_GRANT;
245 dtlb_miss_o = 1'b1;
246 end
247 end
248
249 WAIT_GRANT: begin
250 # send a request out
251 req_port_o.data_req = 1'b1;
252 # wait for the WAIT_GRANT
253 if (req_port_i.data_gnt) begin
254 # send the tag valid signal one cycle later
255 tag_valid_n = 1'b1;
256 state_d = PTE_LOOKUP;
257 end
258 end
259
260 PTE_LOOKUP: begin
261 # we wait for the valid signal
262 if (data_rvalid_q) begin
263
264 # check if the global mapping bit is set
265 if (pte.g)
266 global_mapping_n = 1'b1;
267
268 # -------------
269 # Invalid PTE
270 # -------------
271 # If pte.v = 0, or if pte.r = 0 and pte.w = 1, stop and raise a page-fault exception.
272 if (!pte.v || (!pte.r && pte.w))
273 state_d = PROPAGATE_ERROR;
274 # -----------
275 # Valid PTE
276 # -----------
277 else begin
278 state_d = IDLE;
279 # it is a valid PTE
280 # if pte.r = 1 or pte.x = 1 it is a valid PTE
281 if (pte.r || pte.x) begin
282 # Valid translation found (either 1G, 2M or 4K entry)
283 if (is_instr_ptw_q) begin
284 # ------------
285 # Update ITLB
286 # ------------
287 # If page is not executable, we can directly raise an error. This
288 # doesn't put a useless entry into the TLB. The same idea applies
289 # to the access flag since we let the access flag be managed by SW.
290 if (!pte.x || !pte.a)
291 state_d = PROPAGATE_ERROR;
292 else
293 itlb_update_o.valid = 1'b1;
294
295 end else begin
296 # ------------
297 # Update DTLB
298 # ------------
299 # Check if the access flag has been set, otherwise throw a page-fault
300 # and let the software handle those bits.
301 # If page is not readable (there are no write-only pages)
302 # we can directly raise an error. This doesn't put a useless
303 # entry into the TLB.
304 if (pte.a && (pte.r || (pte.x && mxr_i))) begin
305 dtlb_update_o.valid = 1'b1;
306 end else begin
307 state_d = PROPAGATE_ERROR;
308 end
309 # Request is a store: perform some additional checks
310 # If the request was a store and the page is not write-able, raise an error
311 # the same applies if the dirty flag is not set
312 if (lsu_is_store_i && (!pte.w || !pte.d)) begin
313 dtlb_update_o.valid = 1'b0;
314 state_d = PROPAGATE_ERROR;
315 end
316 end
317 # check if the ppn is correctly aligned:
318 # 6. If i > 0 and pa.ppn[i − 1 : 0] != 0, this is a misaligned superpage; stop and raise a page-fault
319 # exception.
320 if (ptw_lvl_q == LVL1 && pte.ppn[17:0] != '0) begin
321 state_d = PROPAGATE_ERROR;
322 dtlb_update_o.valid = 1'b0;
323 itlb_update_o.valid = 1'b0;
324 end else if (ptw_lvl_q == LVL2 && pte.ppn[8:0] != '0) begin
325 state_d = PROPAGATE_ERROR;
326 dtlb_update_o.valid = 1'b0;
327 itlb_update_o.valid = 1'b0;
328 end
329 # this is a pointer to the next TLB level
330 end else begin
331 # pointer to next level of page table
332 if (ptw_lvl_q == LVL1) begin
333 # we are in the second level now
334 ptw_lvl_n = LVL2;
335 ptw_pptr_n = {pte.ppn, vaddr_q[29:21], 3'b0};
336 end
337
338 if (ptw_lvl_q == LVL2) begin
339 # here we received a pointer to the third level
340 ptw_lvl_n = LVL3;
341 ptw_pptr_n = {pte.ppn, vaddr_q[20:12], 3'b0};
342 end
343
344 state_d = WAIT_GRANT;
345
346 if (ptw_lvl_q == LVL3) begin
347 # Should already be the last level page table => Error
348 ptw_lvl_n = LVL3;
349 state_d = PROPAGATE_ERROR;
350 end
351 end
352 end
353 end
354 # we've got a data WAIT_GRANT so tell the cache that the tag is valid
355 end
356 # Propagate error to MMU/LSU
357 PROPAGATE_ERROR: begin
358 state_d = IDLE;
359 ptw_error_o = 1'b1;
360 end
361 # wait for the rvalid before going back to IDLE
362 WAIT_RVALID: begin
363 if (data_rvalid_q)
364 state_d = IDLE;
365 end
366 default: begin
367 state_d = IDLE;
368 end
369 endcase
370
371 # -------
372 # Flush
373 # -------
374 # should we have flushed before we got an rvalid, wait for it until going back to IDLE
375 if (flush_i) begin
376 # on a flush check whether we are
377 # 1. in the PTE Lookup check whether we still need to wait for an rvalid
378 # 2. waiting for a grant, if so: wait for it
379 # if not, go back to idle
380 if ((state_q == PTE_LOOKUP && !data_rvalid_q) || ((state_q == WAIT_GRANT) && req_port_i.data_gnt))
381 state_d = WAIT_RVALID;
382 else
383 state_d = IDLE;
384 end
385 end
386
387 # sequential process
388 always_ff @(posedge clk_i or negedge rst_ni) begin
389 if (~rst_ni) begin
390 state_q <= IDLE;
391 is_instr_ptw_q <= 1'b0;
392 ptw_lvl_q <= LVL1;
393 tag_valid_q <= 1'b0;
394 tlb_update_asid_q <= '0;
395 vaddr_q <= '0;
396 ptw_pptr_q <= '0;
397 global_mapping_q <= 1'b0;
398 data_rdata_q <= '0;
399 data_rvalid_q <= 1'b0;
400 end else begin
401 state_q <= state_d;
402 ptw_pptr_q <= ptw_pptr_n;
403 is_instr_ptw_q <= is_instr_ptw_n;
404 ptw_lvl_q <= ptw_lvl_n;
405 tag_valid_q <= tag_valid_n;
406 tlb_update_asid_q <= tlb_update_asid_n;
407 vaddr_q <= vaddr_n;
408 global_mapping_q <= global_mapping_n;
409 data_rdata_q <= req_port_i.data_rdata;
410 data_rvalid_q <= req_port_i.data_rvalid;
411 end
412 end
413
414 endmodule
415 /* verilator lint_on WIDTH */