(no commit message)
[libreriscv.git] / resources.mdwn
1 # Resources and Specifications
2
3 This page aims to collect all the resources and specifications we need
4 in one place for quick access. We will try our best to keep links here
5 up-to-date. Feel free to add more links here.
6
7 [[!toc ]]
8
9 # Getting Started
10
11 This section is primarily a series of useful links found online
12
13 * [FSiC2019](https://wiki.f-si.org/index.php/FSiC2019)
14 * Fundamentals to learn to get started [[3d_gpu/tutorial]]
15
16 ## Is Open Source Hardware Profitable?
17 [RaptorCS on FOSS Hardware Interview](https://www.youtube.com/watch?v=o5Ihqg72T3c&feature=youtu.be)
18
19 # OpenPOWER ISA
20
21 * [3.0 PDF](https://openpowerfoundation.org/?resource_lib=power-isa-version-3-0)
22 * [2.07 PDF](https://openpowerfoundation.org/?resource_lib=ibm-power-isa-version-2-07-b)
23
24 ## Overview of the user ISA:
25
26 [Raymond Chen's PowerPC series](https://devblogs.microsoft.com/oldnewthing/20180806-00/?p=99425)
27
28 ## OpenPOWER OpenFSI Spec (2016)
29
30 * [OpenPOWER OpenFSI Spec](http://openpowerfoundation.org/wp-content/uploads/resources/OpenFSI-spec-100/OpenFSI-spec-20161212.pdf)
31
32 * [OpenPOWER OpenFSI Compliance Spec](http://openpowerfoundation.org/wp-content/uploads/resources/openpower-fsi-thts-1.0/openpower-fsi-thts-20180130.pdf)
33
34 # Communities
35
36 * <https://www.reddit.com/r/OpenPOWER/>
37 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-hdl-cores/>
38 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-community-dev/>
39
40 # Other GPU Specifications
41
42 *
43 * https://developer.amd.com/wp-content/resources/RDNA_Shader_ISA.pdf
44 * https://developer.amd.com/wp-content/resources/Vega_Shader_ISA_28July2017.pdf
45 * MALI Midgard
46 * Nyuzi
47 * VideoCore IV
48 * etnaviv
49
50 # JTAG
51
52 * [Useful JTAG implementation reference: Design Of IEEE 1149.1 TAP Controller IP Core by Shelja, Nandakumar and Muruganantham, DOI:10.5121/csit.2016.60910](https://web.archive.org/web/20201021174944/https://airccj.org/CSCP/vol6/csit65610.pdf)
53
54 Abstract
55
56 "The objective of this work is to design and implement a TAP controller IP core compatible with IEEE 1149.1-2013 revision of the standard. The test logic architecture also includes the Test Mode Persistence controller and its associated logic. This work is expected to serve as a ready to use module that can be directly inserted in to a new digital IC designs with little modifications."
57
58 # Radix MMU
59 - [Qemu emulation](https://github.com/qemu/qemu/commit/d5fee0bbe68d5e61e2d2beb5ff6de0b9c1cfd182)
60
61 # D-Cache
62
63 ## D-Cache Possible Optimizations papers and links
64 - [ACDC: Small, Predictable and High-Performance Data Cache](https://dl.acm.org/doi/10.1145/2677093)
65
66 # BW Enhancing Shared L1 Cache Design research done in cooperation with AMD
67 - [Youtube video PACT 2020 - Analyzing and Leveraging Shared L1 Caches in GPUs](https://m.youtube.com/watch?v=CGIhOnt7F6s)
68 - [Url to PDF of paper on author's website (clicking will download the pdf)](https://adwaitjog.github.io/docs/pdf/sharedl1-pact20.pdf)
69
70
71 # RTL Arithmetic SQRT, FPU etc.
72
73 ## Wallace vs Dadda Multipliers
74
75 * [Paper comparing efficiency of Wallace and Dadda Multipliers in RTL implementations (clicking will download the pdf from archive.org)](https://web.archive.org/web/20180717013227/http://ieeemilestones.ethw.org/images/d/db/A_comparison_of_Dadda_and_Wallace_multiplier_delays.pdf)
76
77 ## Sqrt
78 * [Fast Floating Point Square Root](https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf)
79 * [Reciprocal Square Root Algorithm](http://www.acsel-lab.com/arithmetic/arith15/papers/ARITH15_Takagi.pdf)
80 * [Fast Calculation of Cube and Inverse Cube Roots Using a Magic Constant and Its Implementation on Microcontrollers (clicking will download the pdf)](https://res.mdpi.com/d_attachment/energies/energies-14-01058/article_deploy/energies-14-01058-v2.pdf)
81 * [Modified Fast Inverse Square Root and Square Root Approximation Algorithms: The Method of Switching Magic Constants (clicking will download the pdf)](https://res.mdpi.com/d_attachment/computation/computation-09-00021/article_deploy/computation-09-00021-v3.pdf)
82
83
84 ## CORDIC and related algorithms
85
86 * <https://bugs.libre-soc.org/show_bug.cgi?id=127> research into CORDIC
87 * <https://bugs.libre-soc.org/show_bug.cgi?id=208>
88 * [BKM (log(x) and e^x)](https://en.wikipedia.org/wiki/BKM_algorithm)
89 * [CORDIC](http://www.andraka.com/files/crdcsrvy.pdf)
90 - Does not have an easy way of computing tan(x)
91 * [zipcpu CORDIC](https://zipcpu.com/dsp/2017/08/30/cordic.html)
92 * [Low latency and Low error floating point TCORDIC](https://ieeexplore.ieee.org/document/7784797) (email Michael or Cole if you don't have IEEE access)
93 * <http://www.myhdl.org/docs/examples/sinecomp/> MyHDL version of CORDIC
94 * <https://dspguru.com/dsp/faqs/cordic/>
95
96 ## IEEE Standard for Floating-Point Arithmetic (IEEE 754)
97
98 Almost all modern computers follow the IEEE Floating-Point Standard. Of
99 course, we will follow it as well for interoperability.
100
101 * IEEE 754-2019: <https://standards.ieee.org/standard/754-2019.html>
102
103 Note: Even though this is such an important standard used by everyone,
104 it is unfortunately not freely available and requires a payment to
105 access. However, each of the Libre-SOC members already have access
106 to the document.
107
108 * [Lecture notes - Floating Point Appreciation](http://pages.cs.wisc.edu/~markhill/cs354/Fall2008/notes/flpt.apprec.html)
109
110 Among other things, has a nice explanation on arithmetic, rounding modes and the sticky bit.
111
112 * [What Every Computer Scientist Should Know About Floating-Point Arithmetic](https://docs.oracle.com/cd/E19957-01/806-3568/ncg_goldberg.html)
113
114 Nice resource on rounding errors (ulps and epsilon) and the "table maker's dilemma".
115
116 ## Past FPU Mistakes to learn from
117
118 * [Intel Underestimates Error Bounds by 1.3 quintillion on
119 Random ASCII – tech blog of Bruce Dawson ](https://randomascii.wordpress.com/2014/10/09/intel-underestimates-error-bounds-by-1-3-quintillion/)
120 * [Intel overstates FPU accuracy 06/01/2013](http://notabs.org/fpuaccuracy)
121 * How not to design an ISA
122 <https://player.vimeo.com/video/450406346>
123 Meester Forsyth <http://eelpi.gotdns.org/>
124
125 # Khronos Standards
126
127 The Khronos Group creates open standards for authoring and acceleration
128 of graphics, media, and computation. It is a requirement for our hybrid
129 CPU/GPU to be compliant with these standards *as well* as with IEEE754,
130 in order to be commercially-competitive in both areas: especially Vulkan
131 and OpenCL being the most important. SPIR-V is also important for the
132 Kazan driver.
133
134 Thus the [[zfpacc_proposal]] has been created which permits runtime dynamic
135 switching between different accuracy levels, in userspace applications.
136
137 [**SPIR-V Main Page Link**](https://www.khronos.org/registry/spir-v/)
138
139 * [SPIR-V 1.5 Specification Revision 1](https://www.khronos.org/registry/spir-v/specs/unified1/SPIRV.html)
140 * [SPIR-V OpenCL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/OpenCL.ExtendedInstructionSet.100.html)
141 * [SPIR-V GLSL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/GLSL.std.450.html)
142
143 [**Vulkan Main Page Link**](https://www.khronos.org/registry/vulkan/)
144
145 * [Vulkan 1.1.122](https://www.khronos.org/registry/vulkan/specs/1.1-extensions/html/index.html)
146
147 [**OpenCL Main Page**](https://www.khronos.org/registry/OpenCL/)
148
149 * [OpenCL 2.2 API Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_API.html)
150 * [OpenCL 2.2 Extension Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Ext.html)
151 * [OpenCL 2.2 SPIR-V Environment Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Env.html)
152
153 * OpenCL released the proposed OpenCL 3.0 spec for comments in april 2020
154
155 * [Announcement video](https://youtu.be/h0_syTg6TtY)
156 * [Announcement video slides (PDF)](https://www.khronos.org/assets/uploads/apis/OpenCL-3.0-Launch-Apr20.pdf)
157
158 Note: We are implementing hardware accelerated Vulkan and
159 OpenCL while relying on other software projects to translate APIs to
160 Vulkan. E.g. Zink allows for OpenGL-to-Vulkan in software.
161
162 # Open Source (CC BY + MIT) DirectX specs (by Microsoft, but not official specs)
163
164 https://github.com/Microsoft/DirectX-Specs
165
166 # Graphics and Compute API Stack
167
168 I found this informative post that mentions Kazan and a whole bunch of
169 other stuff. It looks like *many* APIs can be emulated on top of Vulkan,
170 although performance is not evaluated.
171
172 <https://synappsis.wordpress.com/2017/06/03/opengl-over-vulkan-dev/>
173
174 * Pixilica is heading up an initiative to create a RISC-V graphical ISA
175
176 * [Pixilica 3D Graphical ISA Slides](https://b5792ddd-543e-4dd4-9b97-fe259caf375d.filesusr.com/ugd/841f2a_c8685ced353b4c3ea20dbb993c4d4d18.pdf)
177
178 # 3D Graphics Texture compression software and hardware
179
180 * [Proprietary Rad Game Tools Oddle Texture Software Compression](https://web.archive.org/web/20200913122043/http://www.radgametools.com/oodle.htm)
181
182 * [Blog post by one of the engineers who developed the proprietary Rad Game Tools Oddle Texture Software Compression and the Oodle Kraken decompression software and hardware decoder used in the ps5 ssd](https://archive.vn/oz0pG)
183
184 # Various POWER Communities
185 - [An effort to make a 100% Libre POWER Laptop](https://www.powerpc-notebook.org/en/)
186 The T2080 is a POWER8 chip.
187 - [Power Progress Community](https://www.powerprogress.org/campaigns/donations-to-all-the-power-progress-community-projects/)
188 Supporting/Raising awareness of various POWER related open projects on the FOSS
189 community
190 - [OpenPOWER](https://openpowerfoundation.org)
191 Promotes and ensure compliance with the Power ISA amongst members.
192 - [OpenCapi](https://opencapi.org)
193 High performance interconnect for POWER machines. One of the big advantages
194 of the POWER architecture. Notably more performant than PCIE Gen4, and is
195 designed to be layered on top of the physical PCIE link.
196 - [OpenPOWER “Virtual Coffee” Calls](https://openpowerfoundation.org/openpower-virtual-coffee-calls/)
197 Truly open bi-weekly teleconference lines for anybody interested in helping
198 advance or adopting the POWER architecture.
199
200 # Conferences
201
202 see [[conferences]]
203
204
205 # Coriolis2
206
207 * LIP6's Coriolis - a set of backend design tools:
208 <https://www-soc.lip6.fr/equipe-cian/logiciels/coriolis/>
209
210 Note: The rest of LIP6's website is in French, but there is a UK flag
211 in the corner that gives the English version.
212
213 # Klayout
214
215 * KLayout - Layout viewer and editor: <https://www.klayout.de/>
216
217 # image to GDS-II
218
219 * https://nazca-design.org/convert-image-to-gds/
220
221 # The OpenROAD Project
222
223 OpenROAD seeks to develop and foster an autonomous, 24-hour, open-source
224 layout generation flow (RTL-to-GDS).
225
226 * <https://theopenroadproject.org/>
227
228 # Other RISC-V GPU attempts
229
230 * <https://fossi-foundation.org/2019/09/03/gsoc-64b-pointers-in-rv32>
231
232 * <http://bjump.org/manycore/>
233
234 * <https://resharma.github.io/RISCV32-GPU/>
235
236 TODO: Get in touch and discuss collaboration
237
238 # Tests, Benchmarks, Conformance, Compliance, Verification, etc.
239
240 ## RISC-V Tests
241
242 RISC-V Foundation is in the process of creating an official conformance
243 test. It's still in development as far as I can tell.
244
245 * //TODO LINK TO RISC-V CONFORMANCE TEST
246
247 ## IEEE 754 Testing/Emulation
248
249 IEEE 754 has no official tests for floating-point but there are
250 well-known third party tools to check such as John Hauser's TestFloat.
251
252 There is also his SoftFloat library, which is a software emulation
253 library for IEEE 754.
254
255 * <http://www.jhauser.us/arithmetic/>
256
257 Jacob is also working on an IEEE 754 software emulation library written
258 in Rust which also has Python bindings:
259
260 * Source: <https://salsa.debian.org/Kazan-team/simple-soft-float>
261 * Crate: <https://crates.io/crates/simple-soft-float>
262 * Autogenerated Docs: <https://docs.rs/simple-soft-float/>
263
264 A cool paper I came across in my research is "IeeeCC754++ : An Advanced
265 Set of Tools to Check IEEE 754-2008 Conformity" by Dr. Matthias Hüsken.
266
267 * Direct link to PDF:
268 <http://elpub.bib.uni-wuppertal.de/servlets/DerivateServlet/Derivate-7505/dc1735.pdf>
269
270 ## Khronos Tests
271
272 OpenCL Conformance Tests
273
274 * <https://github.com/KhronosGroup/OpenCL-CTS>
275
276 Vulkan Conformance Tests
277
278 * <https://github.com/KhronosGroup/VK-GL-CTS>
279
280 MAJOR NOTE: We are **not** allowed to say we are compliant with any of
281 the Khronos standards until we actually make an official submission,
282 do the paperwork, and pay the relevant fees.
283
284 ## Formal Verification
285
286 Formal verification of Libre RISC-V ensures that it is bug-free in
287 regards to what we specify. Of course, it is important to do the formal
288 verification as a final step in the development process before we produce
289 thousands or millions of silicon.
290
291 * Possible way to speed up our solvers for our formal proofs <https://web.archive.org/web/20201029205507/https://github.com/eth-sri/fastsmt>
292
293 * Algorithms (papers) submitted for 2018 International SAT Competition <https://web.archive.org/web/20201029205239/https://helda.helsinki.fi/bitstream/handle/10138/237063/sc2018_proceedings.pdf> <https://web.archive.org/web/20201029205637/http://www.satcompetition.org/>
294 * Minisail <https://www.isa-afp.org/entries/MiniSail.html> - compiler
295 for SAIL into c
296
297 Some learning resources I found in the community:
298
299 * ZipCPU: <http://zipcpu.com/> ZipCPU provides a comprehensive
300 tutorial for beginners and many exercises/quizzes/slides:
301 <http://zipcpu.com/tutorial/>
302 * Western Digital's SweRV CPU blog (I recommend looking at all their
303 posts): <https://tomverbeure.github.io/>
304 * <https://tomverbeure.github.io/risc-v/2018/11/19/A-Bug-Free-RISC-V-Core-without-Simulation.html>
305 * <https://tomverbeure.github.io/rtl/2019/01/04/Under-the-Hood-of-Formal-Verification.html>
306
307 ## Automation
308
309 * <https://www.ohwr.org/project/wishbone-gen>
310
311 # LLVM
312
313 ## Adding new instructions:
314
315 * <https://archive.fosdem.org/2015/schedule/event/llvm_internal_asm/>
316
317 # Branch Prediction
318
319 * <https://danluu.com/branch-prediction/>
320
321 # Python RTL Tools
322
323 * [Migen - a Python RTL](https://jeffrey.co.in/blog/2014/01/d-flip-flop-using-migen/)
324 * [LiTeX](https://github.com/timvideos/litex-buildenv/wiki/LiteX-for-Hardware-Engineers)
325 An SOC builder written in Python Migen DSL. Allows you to generate functional
326 RTL for a SOC configured with cache, a RISCV core, ethernet, DRAM support,
327 and parameterizeable CSRs.
328 * [Migen Tutorial](http://blog.lambdaconcept.com/doku.php?id=migen:tutorial>)
329 * There is a great guy, Robert Baruch, who has a good
330 [tutorial](https://github.com/RobertBaruch/nmigen-tutorial) on nMigen.
331 He also build an FPGA-proven Motorola 6800 CPU clone with nMigen and put
332 [the code](https://github.com/RobertBaruch/n6800) and
333 [instructional videos](https://www.youtube.com/playlist?list=PLEeZWGE3PwbbjxV7_XnPSR7ouLR2zjktw)
334 online.
335 * [Minerva](https://github.com/lambdaconcept/minerva)
336 An SOC written in Python nMigen DSL
337 * Minerva example using nmigen-soc
338 <https://github.com/jfng/minerva-examples/blob/master/hello/core.py>
339 * [Using our Python Unit Tests(old)](http://lists.libre-riscv.org/pipermail/libre-riscv-dev/2019-March/000705.html)
340 * <https://chisel.eecs.berkeley.edu/api/latest/chisel3/util/DecoupledIO.html>
341 * <http://www.clifford.at/papers/2016/yosys-synth-formal/slides.pdf>
342
343 # Other
344
345 * <https://debugger.medium.com/why-is-apples-m1-chip-so-fast-3262b158cba2> N1
346 * <https://codeberg.org/tok/librecell> Libre Cell Library
347 * <https://wiki.f-si.org/index.php/FSiC2019>
348 * <https://fusesoc.net>
349 * <https://www.lowrisc.org/open-silicon/>
350 * <http://fpgacpu.ca/fpga/Pipeline_Skid_Buffer.html> pipeline skid buffer
351 * <https://pyvcd.readthedocs.io/en/latest/vcd.gtkw.html> GTKwave
352 * <http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_Resets.pdf>
353 Synchronous Resets? Asynchronous Resets? I am so confused! How will I
354 ever know which to use? by Clifford E. Cummings
355 * <http://www.sunburst-design.com/papers/CummingsSNUG2008Boston_CDC.pdf>
356 Clock Domain Crossing (CDC) Design & Verification Techniques Using
357 SystemVerilog, by Clifford E. Cummings
358 In particular, see section 5.8.2: Multi-bit CDC signal passing using
359 1-deep / 2-register FIFO synchronizer.
360 * <http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-143.pdf>
361 Understanding Latency Hiding on GPUs, by Vasily Volkov
362 * Efabless "Openlane" <https://github.com/efabless/openlane>
363 * example of openlane with nmigen
364 <https://github.com/lethalbit/nmigen/tree/openlane>
365 * Co-simulation plugin for verilator, transferring to ECP5
366 <https://github.com/vmware/cascade>
367 * Multi-read/write ported memories
368 <https://tomverbeure.github.io/2019/08/03/Multiport-Memories.html>
369 * Data-dependent fail-on-first aka "Fault-tolerant speculative vectorisation"
370 <https://arxiv.org/pdf/1803.06185.pdf>
371 * OpenPOWER Foundation Membership
372 <https://openpowerfoundation.org/membership/how-to-join/membership-kit-9-27-16-4/>
373 * Clock switching (and formal verification)
374 <https://zipcpu.com/formal/2018/05/31/clkswitch.html>
375 * Circuit of Compunit <http://home.macintosh.garden/~mepy2/libre-soc/comp_unit_req_rel.html>
376 * Circuitverse 16-bit <https://circuitverse.org/users/17603/projects/54486>
377 * Nice example model of a Tomasulo-based architecture, with multi-issue, in-order issue, out-of-order execution, in-order commit, with reservation stations and reorder buffers, and hazard avoidance.
378 <https://www.brown.edu/Departments/Engineering/Courses/En164/Tomasulo_10.pdf>
379
380 # Real/Physical Projects
381
382 * [Samuel's KC5 code](http://chiselapp.com/user/kc5tja/repository/kestrel-3/dir?ci=6c559135a301f321&name=cores/cpu)
383 * <https://chips4makers.io/blog/>
384 * <https://hackaday.io/project/7817-zynqberry>
385 * <https://github.com/efabless/raven-picorv32>
386 * <https://efabless.com>
387 * <https://efabless.com/design_catalog/default>
388 * <https://wiki.f-si.org/index.php/The_Raven_chip:_First-time_silicon_success_with_qflow_and_efabless>
389 * <https://mshahrad.github.io/openpiton-asplos16.html>
390
391 # ASIC tape-out pricing
392
393 * <https://europractice-ic.com/wp-content/uploads/2020/05/General-MPW-EUROPRACTICE-200505-v8.pdf>
394
395 # Funding
396
397 * <https://toyota-ai.ventures/>
398 * [NLNet Applications](http://bugs.libre-riscv.org/buglist.cgi?columnlist=assigned_to%2Cbug_status%2Cresolution%2Cshort_desc%2Ccf_budget&f1=cf_nlnet_milestone&o1=equals&query_format=advanced&resolution=---&v1=NLnet.2019.02)
399
400 # Good Programming/Design Practices
401
402 * [Liskov Substitution Principle](https://en.wikipedia.org/wiki/Liskov_substitution_principle)
403 * [Principle of Least Astonishment](https://en.wikipedia.org/wiki/Principle_of_least_astonishment)
404 * <https://peertube.f-si.org/videos/watch/379ef007-40b7-4a51-ba1a-0db4f48e8b16>
405 * [Rust-Lang Philosophy and Consensus](http://smallcultfollowing.com/babysteps/blog/2019/04/19/aic-adventures-in-consensus/)
406
407 * <https://youtu.be/o5Ihqg72T3c>
408 * <http://flopoco.gforge.inria.fr/>
409 * Fundamentals of Modern VLSI Devices
410 <https://groups.google.com/a/groups.riscv.org/d/msg/hw-dev/b4pPvlzBzu0/7hDfxArEAgAJ>
411
412 # 12 skills summary
413
414 * <https://www.crnhq.org/cr-kit/>
415
416 # Analog Simulation
417
418 * <https://github.com/Isotel/mixedsim>
419 * <http://www.vlsiacademy.org/open-source-cad-tools.html>
420 * <http://ngspice.sourceforge.net/adms.html>
421 * <https://en.wikipedia.org/wiki/Verilog-AMS#Open_Source_Implementations>
422
423 # Libre-SOC Standards
424
425 This list auto-generated from a page tag "standards":
426
427 [[!inline pages="tagged(standards)" actions="no" archive="yes" quick="yes"]]
428
429 # Server setup
430
431 * [[resources/server-setup/web-server]]
432 * [[resources/server-setup/git-mirroring]]
433 * [[resources/server-setup/nagios-monitoring]]
434
435 # Testbeds
436
437 * <https://www.fed4fire.eu/testbeds/>
438
439 # Really Useful Stuff
440
441 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/requirements.txt>
442 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/conf.py#L39-L47>
443
444 # Digilent Arty
445
446 * https://store.digilentinc.com/pmod-sf3-32-mb-serial-nor-flash/
447 * https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/
448 * https://store.digilentinc.com/pmod-vga-video-graphics-array/
449 * https://store.digilentinc.com/pmod-microsd-microsd-card-slot/
450 * https://store.digilentinc.com/pmod-rtcc-real-time-clock-calendar/
451 * https://store.digilentinc.com/pmod-i2s2-stereo-audio-input-and-output/
452
453 # CircuitJS experiments
454
455 * [[resources/high-speed-serdes-in-circuitjs]]
456
457 # Logic Simulator 2
458 * <https://github.com/dkilfoyle/logic2>
459 [Live web version](https://dkilfoyle.github.io/logic2/)
460
461 > ## Features
462 > 1. Micro-subset verilog-like DSL for coding the array of logic gates (parsed using Antlr)
463 > 2. Monaco-based code editor with automatic linting/error reporting, smart indentation, code folding, hints
464 > 3. IDE docking ui courtesy of JupyterLab's Lumino widgets
465 > 4. Schematic visualisation courtesy of d3-hwschematic
466 > 5. Testbench simulation with graphical trace output and schematic animation
467 > 6. Circuit description as gates, boolean logic or verilog behavioural model
468 > 7. Generate arbitrary outputs from truth table and Sum of Products or Karnaugh Map
469
470 [from the GitHub page. As of 2021/03/29]
471
472 # ASIC Timing and Design flow resources
473
474 * <https://www.linkedin.com/pulse/asic-design-flow-introduction-timing-constraints-mahmoud-abdellatif/>
475 * <https://www.icdesigntips.com/2020/10/setup-and-hold-time-explained.html>
476 * <https://www.vlsiguide.com/2018/07/clock-tree-synthesis-cts.html>
477 * <https://en.wikipedia.org/wiki/Frequency_divider>
478
479 # Geometric Haskell Library
480
481 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/GeometricAlgebra.hs>
482 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/PGA.hs>
483 * <https://arxiv.org/pdf/1501.06511.pdf>
484 * <https://bivector.net/index.html>
485
486 # TODO investigate
487
488 ```
489 https://github.com/idea-fasoc/OpenFASOC
490 https://www.quicklogic.com/2020/06/18/the-tipping-point/
491 https://www.quicklogic.com/blog/
492 https://www.quicklogic.com/2020/09/15/why-open-source-ecosystems-make-good-business-sense/
493 https://www.quicklogic.com/qorc/
494 https://en.wikipedia.org/wiki/RAD750
495 The RAD750 system has a price that is comparable to the RAD6000, the latter of which as of 2002 was listed at US$200,000 (equivalent to $284,292 in 2019).
496 https://theamphour.com/525-open-fpga-toolchains-and-machine-learning-with-brian-faith-of-quicklogic/
497 https://github.blog/2021-03-22-open-innovation-winning-strategy-digital-sovereignty-human-progress/
498 https://github.com/olofk/edalize
499 https://github.com/hdl/containers
500 https://twitter.com/OlofKindgren/status/1374848733746192394
501 You might also want to check out https://umarcor.github.io/osvb/index.html
502 https://www.linkedin.com/pulse/1932021-python-now-replaces-tcl-all-besteda-apis-avidan-efody/
503 “TCL has served us well, over the years, allowing us to provide an API, and at the same time ensure nobody will ever use it. I will miss it”.
504 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/comb-full-adder.html
505 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/carry4.html
506 FuseSoC is used by MicroWatt and Western Digital cores
507 OpenTitan also uses FuseSoC
508 LowRISC is UK based
509 https://antmicro.com/blog/2020/12/ibex-support-in-verilator-yosys-via-uhdm-surelog/
510 ```