add pylog to resources
[libreriscv.git] / resources.mdwn
1 # Resources and Specifications
2
3 This page aims to collect all the resources and specifications we need
4 in one place for quick access. We will try our best to keep links here
5 up-to-date. Feel free to add more links here.
6
7 [[!toc ]]
8
9 # Getting Started
10
11 This section is primarily a series of useful links found online
12
13 * [FSiC2019](https://wiki.f-si.org/index.php/FSiC2019)
14 * Fundamentals to learn to get started [[3d_gpu/tutorial]]
15
16 ## Is Open Source Hardware Profitable?
17 [RaptorCS on FOSS Hardware Interview](https://www.youtube.com/watch?v=o5Ihqg72T3c&feature=youtu.be)
18
19 # OpenPOWER ISA
20
21 * [3.0 PDF](https://openpowerfoundation.org/?resource_lib=power-isa-version-3-0)
22 * [2.07 PDF](https://openpowerfoundation.org/?resource_lib=ibm-power-isa-version-2-07-b)
23
24 ## Overview of the user ISA:
25
26 * [Raymond Chen's PowerPC series](https://devblogs.microsoft.com/oldnewthing/20180806-00/?p=99425)
27 * Power ISA listings <https://power-isa-beta.mybluemix.net/>
28
29 ## OpenPOWER OpenFSI Spec (2016)
30
31 * [OpenPOWER OpenFSI Spec](http://openpowerfoundation.org/wp-content/uploads/resources/OpenFSI-spec-100/OpenFSI-spec-20161212.pdf)
32
33 * [OpenPOWER OpenFSI Compliance Spec](http://openpowerfoundation.org/wp-content/uploads/resources/openpower-fsi-thts-1.0/openpower-fsi-thts-20180130.pdf)
34
35 # Energy-efficient cores
36
37 * https://arxiv.org/abs/2002.10143
38
39 # Communities
40
41 * <https://www.reddit.com/r/OpenPOWER/>
42 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-hdl-cores/>
43 * <http://lists.mailinglist.openpowerfoundation.org/pipermail/openpower-community-dev/>
44 * Open tape-out mailing list <https://groups.google.com/a/opentapeout.dev/g/mailinglist>
45
46 # Other GPU Specifications
47
48 *
49 * https://developer.amd.com/wp-content/resources/RDNA_Shader_ISA.pdf
50 * https://developer.amd.com/wp-content/resources/Vega_Shader_ISA_28July2017.pdf
51 * MALI Midgard
52 * [Nyuzi](https://github.com/jbush001/NyuziProcessor)
53 * VideoCore IV
54 * etnaviv
55
56 # JTAG
57
58 * [Useful JTAG implementation reference: Design Of IEEE 1149.1 TAP Controller IP Core by Shelja, Nandakumar and Muruganantham, DOI:10.5121/csit.2016.60910](https://web.archive.org/web/20201021174944/https://airccj.org/CSCP/vol6/csit65610.pdf)
59
60 Abstract
61
62 "The objective of this work is to design and implement a TAP controller IP core compatible with IEEE 1149.1-2013 revision of the standard. The test logic architecture also includes the Test Mode Persistence controller and its associated logic. This work is expected to serve as a ready to use module that can be directly inserted in to a new digital IC designs with little modifications."
63
64 # Radix MMU
65 - [Qemu emulation](https://github.com/qemu/qemu/commit/d5fee0bbe68d5e61e2d2beb5ff6de0b9c1cfd182)
66
67 # D-Cache
68
69 - [A Primer on Memory Consistency and Cache Coherence
70 ](https://www.morganclaypool.com/doi/10.2200/S00962ED2V01Y201910CAC049)
71
72 ## D-Cache Possible Optimizations papers and links
73 - [ACDC: Small, Predictable and High-Performance Data Cache](https://dl.acm.org/doi/10.1145/2677093)
74 - [Stop Crying Over Your Cache Miss Rate: Handling Efficiently Thousands of
75 Outstanding Misses in FPGAs](https://dl.acm.org/doi/abs/10.1145/3289602.3293901)
76
77 # BW Enhancing Shared L1 Cache Design research done in cooperation with AMD
78 - [Youtube video PACT 2020 - Analyzing and Leveraging Shared L1 Caches in GPUs](https://m.youtube.com/watch?v=CGIhOnt7F6s)
79 - [Url to PDF of paper on author's website (clicking will download the pdf)](https://adwaitjog.github.io/docs/pdf/sharedl1-pact20.pdf)
80
81
82 # RTL Arithmetic SQRT, FPU etc.
83
84 ## Wallace vs Dadda Multipliers
85
86 * [Paper comparing efficiency of Wallace and Dadda Multipliers in RTL implementations (clicking will download the pdf from archive.org)](https://web.archive.org/web/20180717013227/http://ieeemilestones.ethw.org/images/d/db/A_comparison_of_Dadda_and_Wallace_multiplier_delays.pdf)
87
88 ## Sqrt
89 * [Fast Floating Point Square Root](https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf)
90 * [Reciprocal Square Root Algorithm](http://www.acsel-lab.com/arithmetic/arith15/papers/ARITH15_Takagi.pdf)
91 * [Fast Calculation of Cube and Inverse Cube Roots Using a Magic Constant and Its Implementation on Microcontrollers (clicking will download the pdf)](https://res.mdpi.com/d_attachment/energies/energies-14-01058/article_deploy/energies-14-01058-v2.pdf)
92 * [Modified Fast Inverse Square Root and Square Root Approximation Algorithms: The Method of Switching Magic Constants (clicking will download the pdf)](https://res.mdpi.com/d_attachment/computation/computation-09-00021/article_deploy/computation-09-00021-v3.pdf)
93
94
95 ## CORDIC and related algorithms
96
97 * <https://bugs.libre-soc.org/show_bug.cgi?id=127> research into CORDIC
98 * <https://bugs.libre-soc.org/show_bug.cgi?id=208>
99 * [BKM (log(x) and e^x)](https://en.wikipedia.org/wiki/BKM_algorithm)
100 * [CORDIC](http://www.andraka.com/files/crdcsrvy.pdf)
101 - Does not have an easy way of computing tan(x)
102 * [zipcpu CORDIC](https://zipcpu.com/dsp/2017/08/30/cordic.html)
103 * [Low latency and Low error floating point TCORDIC](https://ieeexplore.ieee.org/document/7784797) (email Michael or Cole if you don't have IEEE access)
104 * <http://www.myhdl.org/docs/examples/sinecomp/> MyHDL version of CORDIC
105 * <https://dspguru.com/dsp/faqs/cordic/>
106
107 ## IEEE Standard for Floating-Point Arithmetic (IEEE 754)
108
109 Almost all modern computers follow the IEEE Floating-Point Standard. Of
110 course, we will follow it as well for interoperability.
111
112 * IEEE 754-2019: <https://standards.ieee.org/standard/754-2019.html>
113
114 Note: Even though this is such an important standard used by everyone,
115 it is unfortunately not freely available and requires a payment to
116 access. However, each of the Libre-SOC members already have access
117 to the document.
118
119 * [Lecture notes - Floating Point Appreciation](http://pages.cs.wisc.edu/~markhill/cs354/Fall2008/notes/flpt.apprec.html)
120
121 Among other things, has a nice explanation on arithmetic, rounding modes and the sticky bit.
122
123 * [What Every Computer Scientist Should Know About Floating-Point Arithmetic](https://docs.oracle.com/cd/E19957-01/806-3568/ncg_goldberg.html)
124
125 Nice resource on rounding errors (ulps and epsilon) and the "table maker's dilemma".
126
127 ## Past FPU Mistakes to learn from
128
129 * [Intel Underestimates Error Bounds by 1.3 quintillion on
130 Random ASCII – tech blog of Bruce Dawson ](https://randomascii.wordpress.com/2014/10/09/intel-underestimates-error-bounds-by-1-3-quintillion/)
131 * [Intel overstates FPU accuracy 06/01/2013](http://notabs.org/fpuaccuracy)
132 * How not to design an ISA
133 <https://player.vimeo.com/video/450406346>
134 Meester Forsyth <http://eelpi.gotdns.org/>
135
136 # Khronos Standards
137
138 The Khronos Group creates open standards for authoring and acceleration
139 of graphics, media, and computation. It is a requirement for our hybrid
140 CPU/GPU to be compliant with these standards *as well* as with IEEE754,
141 in order to be commercially-competitive in both areas: especially Vulkan
142 and OpenCL being the most important. SPIR-V is also important for the
143 Kazan driver.
144
145 Thus the [[zfpacc_proposal]] has been created which permits runtime dynamic
146 switching between different accuracy levels, in userspace applications.
147
148 [**SPIR-V Main Page Link**](https://www.khronos.org/registry/spir-v/)
149
150 * [SPIR-V 1.5 Specification Revision 1](https://www.khronos.org/registry/spir-v/specs/unified1/SPIRV.html)
151 * [SPIR-V OpenCL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/OpenCL.ExtendedInstructionSet.100.html)
152 * [SPIR-V GLSL Extended Instruction Set](https://www.khronos.org/registry/spir-v/specs/unified1/GLSL.std.450.html)
153
154 [**Vulkan Main Page Link**](https://www.khronos.org/registry/vulkan/)
155
156 * [Vulkan 1.1.122](https://www.khronos.org/registry/vulkan/specs/1.1-extensions/html/index.html)
157
158 [**OpenCL Main Page**](https://www.khronos.org/registry/OpenCL/)
159
160 * [OpenCL 2.2 API Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_API.html)
161 * [OpenCL 2.2 Extension Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Ext.html)
162 * [OpenCL 2.2 SPIR-V Environment Specification](https://www.khronos.org/registry/OpenCL/specs/2.2/html/OpenCL_Env.html)
163
164 * OpenCL released the proposed OpenCL 3.0 spec for comments in april 2020
165
166 * [Announcement video](https://youtu.be/h0_syTg6TtY)
167 * [Announcement video slides (PDF)](https://www.khronos.org/assets/uploads/apis/OpenCL-3.0-Launch-Apr20.pdf)
168
169 Note: We are implementing hardware accelerated Vulkan and
170 OpenCL while relying on other software projects to translate APIs to
171 Vulkan. E.g. Zink allows for OpenGL-to-Vulkan in software.
172
173 # Open Source (CC BY + MIT) DirectX specs (by Microsoft, but not official specs)
174
175 https://github.com/Microsoft/DirectX-Specs
176
177 # Graphics and Compute API Stack
178
179 I found this informative post that mentions Kazan and a whole bunch of
180 other stuff. It looks like *many* APIs can be emulated on top of Vulkan,
181 although performance is not evaluated.
182
183 <https://synappsis.wordpress.com/2017/06/03/opengl-over-vulkan-dev/>
184
185 * Pixilica is heading up an initiative to create a RISC-V graphical ISA
186
187 * [Pixilica 3D Graphical ISA Slides](https://b5792ddd-543e-4dd4-9b97-fe259caf375d.filesusr.com/ugd/841f2a_c8685ced353b4c3ea20dbb993c4d4d18.pdf)
188
189 # 3D Graphics Texture compression software and hardware
190
191 * [Proprietary Rad Game Tools Oddle Texture Software Compression](https://web.archive.org/web/20200913122043/http://www.radgametools.com/oodle.htm)
192
193 * [Blog post by one of the engineers who developed the proprietary Rad Game Tools Oddle Texture Software Compression and the Oodle Kraken decompression software and hardware decoder used in the ps5 ssd](https://archive.vn/oz0pG)
194
195 # Various POWER Communities
196 - [An effort to make a 100% Libre POWER Laptop](https://www.powerpc-notebook.org/en/)
197 The T2080 is a POWER8 chip.
198 - [Power Progress Community](https://www.powerprogress.org/campaigns/donations-to-all-the-power-progress-community-projects/)
199 Supporting/Raising awareness of various POWER related open projects on the FOSS
200 community
201 - [OpenPOWER](https://openpowerfoundation.org)
202 Promotes and ensure compliance with the Power ISA amongst members.
203 - [OpenCapi](https://opencapi.org)
204 High performance interconnect for POWER machines. One of the big advantages
205 of the POWER architecture. Notably more performant than PCIE Gen4, and is
206 designed to be layered on top of the physical PCIE link.
207 - [OpenPOWER “Virtual Coffee” Calls](https://openpowerfoundation.org/openpower-virtual-coffee-calls/)
208 Truly open bi-weekly teleconference lines for anybody interested in helping
209 advance or adopting the POWER architecture.
210
211 # Conferences
212
213 see [[conferences]]
214
215
216 # Coriolis2
217
218 * LIP6's Coriolis - a set of backend design tools:
219 <https://www-soc.lip6.fr/equipe-cian/logiciels/coriolis/>
220
221 Note: The rest of LIP6's website is in French, but there is a UK flag
222 in the corner that gives the English version.
223
224 # Logical Equivalence and extraction
225
226 * NETGEN
227 * CVC https://github.com/d-m-bailey/cvc
228
229 # Klayout
230
231 * KLayout - Layout viewer and editor: <https://www.klayout.de/>
232
233 # image to GDS-II
234
235 * https://nazca-design.org/convert-image-to-gds/
236
237 # The OpenROAD Project
238
239 OpenROAD seeks to develop and foster an autonomous, 24-hour, open-source
240 layout generation flow (RTL-to-GDS).
241
242 * <https://theopenroadproject.org/>
243
244 # Other RISC-V GPU attempts
245
246 * <https://fossi-foundation.org/2019/09/03/gsoc-64b-pointers-in-rv32>
247
248 * <http://bjump.org/manycore/>
249
250 * <https://resharma.github.io/RISCV32-GPU/>
251
252 TODO: Get in touch and discuss collaboration
253
254 # Tests, Benchmarks, Conformance, Compliance, Verification, etc.
255
256 ## RISC-V Tests
257
258 RISC-V Foundation is in the process of creating an official conformance
259 test. It's still in development as far as I can tell.
260
261 * //TODO LINK TO RISC-V CONFORMANCE TEST
262
263 ## IEEE 754 Testing/Emulation
264
265 IEEE 754 has no official tests for floating-point but there are
266 well-known third party tools to check such as John Hauser's TestFloat.
267
268 There is also his SoftFloat library, which is a software emulation
269 library for IEEE 754.
270
271 * <http://www.jhauser.us/arithmetic/>
272
273 Jacob is also working on an IEEE 754 software emulation library written
274 in Rust which also has Python bindings:
275
276 * Source: <https://salsa.debian.org/Kazan-team/simple-soft-float>
277 * Crate: <https://crates.io/crates/simple-soft-float>
278 * Autogenerated Docs: <https://docs.rs/simple-soft-float/>
279
280 A cool paper I came across in my research is "IeeeCC754++ : An Advanced
281 Set of Tools to Check IEEE 754-2008 Conformity" by Dr. Matthias Hüsken.
282
283 * Direct link to PDF:
284 <http://elpub.bib.uni-wuppertal.de/servlets/DerivateServlet/Derivate-7505/dc1735.pdf>
285
286 ## Khronos Tests
287
288 OpenCL Conformance Tests
289
290 * <https://github.com/KhronosGroup/OpenCL-CTS>
291
292 Vulkan Conformance Tests
293
294 * <https://github.com/KhronosGroup/VK-GL-CTS>
295
296 MAJOR NOTE: We are **not** allowed to say we are compliant with any of
297 the Khronos standards until we actually make an official submission,
298 do the paperwork, and pay the relevant fees.
299
300 ## Formal Verification
301
302 Formal verification of Libre RISC-V ensures that it is bug-free in
303 regards to what we specify. Of course, it is important to do the formal
304 verification as a final step in the development process before we produce
305 thousands or millions of silicon.
306
307 * Possible way to speed up our solvers for our formal proofs <https://web.archive.org/web/20201029205507/https://github.com/eth-sri/fastsmt>
308
309 * Algorithms (papers) submitted for 2018 International SAT Competition <https://web.archive.org/web/20201029205239/https://helda.helsinki.fi/bitstream/handle/10138/237063/sc2018_proceedings.pdf> <https://web.archive.org/web/20201029205637/http://www.satcompetition.org/>
310 * Minisail <https://www.isa-afp.org/entries/MiniSail.html> - compiler
311 for SAIL into c
312
313 Some learning resources I found in the community:
314
315 * ZipCPU: <http://zipcpu.com/> ZipCPU provides a comprehensive
316 tutorial for beginners and many exercises/quizzes/slides:
317 <http://zipcpu.com/tutorial/>
318 * Western Digital's SweRV CPU blog (I recommend looking at all their
319 posts): <https://tomverbeure.github.io/>
320 * <https://tomverbeure.github.io/risc-v/2018/11/19/A-Bug-Free-RISC-V-Core-without-Simulation.html>
321 * <https://tomverbeure.github.io/rtl/2019/01/04/Under-the-Hood-of-Formal-Verification.html>
322
323 ## Automation
324
325 * <https://www.ohwr.org/project/wishbone-gen>
326
327 # Bus Architectures
328
329 * Avalon <https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/mnl_avalon_spec.pdf>
330 * CXM <https://www.computeexpresslink.org/download-the-specification>
331
332 # Vector Processors
333
334 * THOR <https://github.com/robfinch/Thor/blob/main/Thor2021/doc/Thor2021.pdf>
335 * NEC SX-Aurora
336 * RVV
337 * MRISC32 <https://github.com/mrisc32/mrisc32>
338
339 # LLVM
340
341 ## Adding new instructions:
342
343 * <https://archive.fosdem.org/2015/schedule/event/llvm_internal_asm/>
344
345 # Branch Prediction
346
347 * <https://danluu.com/branch-prediction/>
348
349 # Python RTL Tools
350
351 * <https://ieeexplore.ieee.org/document/9591456> pylog fpga
352 <https://github.com/hst10/pylog>
353 * [Migen - a Python RTL](https://jeffrey.co.in/blog/2014/01/d-flip-flop-using-migen/)
354 * [Migen Tutorial](http://blog.lambdaconcept.com/doku.php?id=migen:tutorial>)
355 * There is a great guy, Robert Baruch, who has a good
356 [tutorial](https://github.com/RobertBaruch/nmigen-tutorial) on nMigen.
357 He also build an FPGA-proven Motorola 6800 CPU clone with nMigen and put
358 [the code](https://github.com/RobertBaruch/n6800) and
359 [instructional videos](https://www.youtube.com/playlist?list=PLEeZWGE3PwbbjxV7_XnPSR7ouLR2zjktw)
360 online.
361 There is now a page [[docs/learning_nmigen]].
362 * [Using our Python Unit Tests(old)](http://lists.libre-riscv.org/pipermail/libre-riscv-dev/2019-March/000705.html)
363 * <https://chisel.eecs.berkeley.edu/api/latest/chisel3/util/DecoupledIO.html>
364
365 # Other
366
367 * <https://github.com/tdene/synth_opt_adders> Prefix-tree generation scripts
368 * <https://debugger.medium.com/why-is-apples-m1-chip-so-fast-3262b158cba2> N1
369 * <https://codeberg.org/tok/librecell> Libre Cell Library
370 * <https://wiki.f-si.org/index.php/FSiC2019>
371 * <https://fusesoc.net>
372 * <https://www.lowrisc.org/open-silicon/>
373 * <http://fpgacpu.ca/fpga/Pipeline_Skid_Buffer.html> pipeline skid buffer
374 * <https://pyvcd.readthedocs.io/en/latest/vcd.gtkw.html> GTKwave
375 * <https://github.com/Ben1152000/sootty> - console-based vcd viewer
376 * <https://github.com/ics-jku/wal> - Waveform Analysis
377 * <http://www.sunburst-design.com/papers/CummingsSNUG2002SJ_Resets.pdf>
378 Synchronous Resets? Asynchronous Resets? I am so confused! How will I
379 ever know which to use? by Clifford E. Cummings
380 * <http://www.sunburst-design.com/papers/CummingsSNUG2008Boston_CDC.pdf>
381 Clock Domain Crossing (CDC) Design & Verification Techniques Using
382 SystemVerilog, by Clifford E. Cummings
383 In particular, see section 5.8.2: Multi-bit CDC signal passing using
384 1-deep / 2-register FIFO synchronizer.
385 * <http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-143.pdf>
386 Understanding Latency Hiding on GPUs, by Vasily Volkov
387 * Efabless "Openlane" <https://github.com/efabless/openlane>
388 * example of openlane with nmigen
389 <https://github.com/lethalbit/nmigen/tree/openlane>
390 * Co-simulation plugin for verilator, transferring to ECP5
391 <https://github.com/vmware/cascade>
392 * Multi-read/write ported memories
393 <https://tomverbeure.github.io/2019/08/03/Multiport-Memories.html>
394 * Data-dependent fail-on-first aka "Fault-tolerant speculative vectorisation"
395 <https://arxiv.org/pdf/1803.06185.pdf>
396 * OpenPOWER Foundation Membership
397 <https://openpowerfoundation.org/membership/how-to-join/membership-kit-9-27-16-4/>
398 * Clock switching (and formal verification)
399 <https://zipcpu.com/formal/2018/05/31/clkswitch.html>
400 * Circuit of Compunit <http://home.macintosh.garden/~mepy2/libre-soc/comp_unit_req_rel.html>
401 * Circuitverse 16-bit <https://circuitverse.org/users/17603/projects/54486>
402 * Nice example model of a Tomasulo-based architecture, with multi-issue, in-order issue, out-of-order execution, in-order commit, with reservation stations and reorder buffers, and hazard avoidance.
403 <https://www.brown.edu/Departments/Engineering/Courses/En164/Tomasulo_10.pdf>
404 * adrian_b architecture comparison <https://news.ycombinator.com/item?id=24459041>
405
406 # Real/Physical Projects
407
408 * [Samuel's KC5 code](http://chiselapp.com/user/kc5tja/repository/kestrel-3/dir?ci=6c559135a301f321&name=cores/cpu)
409 * <https://chips4makers.io/blog/>
410 * <https://hackaday.io/project/7817-zynqberry>
411 * <https://github.com/efabless/raven-picorv32>
412 * <https://efabless.com>
413 * <https://efabless.com/design_catalog/default>
414 * <https://wiki.f-si.org/index.php/The_Raven_chip:_First-time_silicon_success_with_qflow_and_efabless>
415 * <https://mshahrad.github.io/openpiton-asplos16.html>
416
417 # ASIC tape-out pricing
418
419 * <https://europractice-ic.com/wp-content/uploads/2020/05/General-MPW-EUROPRACTICE-200505-v8.pdf>
420
421 # Funding
422
423 * <https://toyota-ai.ventures/>
424 * [NLNet Applications](http://bugs.libre-riscv.org/buglist.cgi?columnlist=assigned_to%2Cbug_status%2Cresolution%2Cshort_desc%2Ccf_budget&f1=cf_nlnet_milestone&o1=equals&query_format=advanced&resolution=---&v1=NLnet.2019.02)
425
426 # Good Programming/Design Practices
427
428 * [Liskov Substitution Principle](https://en.wikipedia.org/wiki/Liskov_substitution_principle)
429 * [Principle of Least Astonishment](https://en.wikipedia.org/wiki/Principle_of_least_astonishment)
430 * <https://peertube.f-si.org/videos/watch/379ef007-40b7-4a51-ba1a-0db4f48e8b16>
431 * [Rust-Lang Philosophy and Consensus](http://smallcultfollowing.com/babysteps/blog/2019/04/19/aic-adventures-in-consensus/)
432
433 * <https://youtu.be/o5Ihqg72T3c>
434 * <http://flopoco.gforge.inria.fr/>
435 * Fundamentals of Modern VLSI Devices
436 <https://groups.google.com/a/groups.riscv.org/d/msg/hw-dev/b4pPvlzBzu0/7hDfxArEAgAJ>
437
438 # 12 skills summary
439
440 * <https://www.crnhq.org/cr-kit/>
441
442 # Analog Simulation
443
444 * <https://github.com/Isotel/mixedsim>
445 * <http://www.vlsiacademy.org/open-source-cad-tools.html>
446 * <http://ngspice.sourceforge.net/adms.html>
447 * <https://en.wikipedia.org/wiki/Verilog-AMS#Open_Source_Implementations>
448
449 # Libre-SOC Standards
450
451 This list auto-generated from a page tag "standards":
452
453 [[!inline pages="tagged(standards)" actions="no" archive="yes" quick="yes"]]
454
455 # Server setup
456
457 * [[resources/server-setup/web-server]]
458 * [[resources/server-setup/git-mirroring]]
459 * [[resources/server-setup/nagios-monitoring]]
460
461 # Testbeds
462
463 * <https://www.fed4fire.eu/testbeds/>
464
465 # Really Useful Stuff
466
467 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/requirements.txt>
468 * <https://github.com/im-tomu/fomu-workshop/blob/master/docs/conf.py#L39-L47>
469
470 # Digilent Arty
471
472 * https://store.digilentinc.com/pmod-sf3-32-mb-serial-nor-flash/
473 * https://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/
474 * https://store.digilentinc.com/pmod-vga-video-graphics-array/
475 * https://store.digilentinc.com/pmod-microsd-microsd-card-slot/
476 * https://store.digilentinc.com/pmod-rtcc-real-time-clock-calendar/
477 * https://store.digilentinc.com/pmod-i2s2-stereo-audio-input-and-output/
478
479 # CircuitJS experiments
480
481 * [[resources/high-speed-serdes-in-circuitjs]]
482
483 # Logic Simulator 2
484 * <https://github.com/dkilfoyle/logic2>
485 [Live web version](https://dkilfoyle.github.io/logic2/)
486
487 > ## Features
488 > 1. Micro-subset verilog-like DSL for coding the array of logic gates (parsed using Antlr)
489 > 2. Monaco-based code editor with automatic linting/error reporting, smart indentation, code folding, hints
490 > 3. IDE docking ui courtesy of JupyterLab's Lumino widgets
491 > 4. Schematic visualisation courtesy of d3-hwschematic
492 > 5. Testbench simulation with graphical trace output and schematic animation
493 > 6. Circuit description as gates, boolean logic or verilog behavioural model
494 > 7. Generate arbitrary outputs from truth table and Sum of Products or Karnaugh Map
495
496 [from the GitHub page. As of 2021/03/29]
497
498 # ASIC Timing and Design flow resources
499
500 * <https://www.linkedin.com/pulse/asic-design-flow-introduction-timing-constraints-mahmoud-abdellatif/>
501 * <https://www.icdesigntips.com/2020/10/setup-and-hold-time-explained.html>
502 * <https://www.vlsiguide.com/2018/07/clock-tree-synthesis-cts.html>
503 * <https://en.wikipedia.org/wiki/Frequency_divider>
504
505 # Geometric Haskell Library
506
507 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/GeometricAlgebra.hs>
508 * <https://github.com/julialongtin/hslice/blob/master/Graphics/Slicer/Math/PGA.hs>
509 * <https://arxiv.org/pdf/1501.06511.pdf>
510 * <https://bivector.net/index.html>
511
512 # TODO investigate
513
514 ```
515 https://github.com/idea-fasoc/OpenFASOC
516 https://www.quicklogic.com/2020/06/18/the-tipping-point/
517 https://www.quicklogic.com/blog/
518 https://www.quicklogic.com/2020/09/15/why-open-source-ecosystems-make-good-business-sense/
519 https://www.quicklogic.com/qorc/
520 https://en.wikipedia.org/wiki/RAD750
521 The RAD750 system has a price that is comparable to the RAD6000, the latter of which as of 2002 was listed at US$200,000 (equivalent to $284,292 in 2019).
522 https://theamphour.com/525-open-fpga-toolchains-and-machine-learning-with-brian-faith-of-quicklogic/
523 https://github.blog/2021-03-22-open-innovation-winning-strategy-digital-sovereignty-human-progress/
524 https://github.com/olofk/edalize
525 https://github.com/hdl/containers
526 https://twitter.com/OlofKindgren/status/1374848733746192394
527 You might also want to check out https://umarcor.github.io/osvb/index.html
528 https://www.linkedin.com/pulse/1932021-python-now-replaces-tcl-all-besteda-apis-avidan-efody/
529 “TCL has served us well, over the years, allowing us to provide an API, and at the same time ensure nobody will ever use it. I will miss it”.
530 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/comb-full-adder.html
531 https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/carry4.html
532 FuseSoC is used by MicroWatt and Western Digital cores
533 OpenTitan also uses FuseSoC
534 LowRISC is UK based
535 https://antmicro.com/blog/2020/12/ibex-support-in-verilator-yosys-via-uhdm-surelog/
536 https://cirosantilli.com/x86-paging
537 https://stackoverflow.com/questions/18431261/how-does-x86-paging-work
538 http://denninginstitute.com/modules/vm/red/i486page.html
539 ```