core: Move redirect and interrupt delivery logic to writeback
[microwatt.git] / sim_console.vhdl
1 library ieee;
2 use ieee.std_logic_1164.all;
3
4 package sim_console is
5 procedure sim_console_read (val: out std_ulogic_vector(63 downto 0));
6 attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read";
7
8 procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0));
9 attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll";
10
11 procedure sim_console_write (val: std_ulogic_vector(63 downto 0));
12 attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write";
13 end sim_console;
14
15 package body sim_console is
16 procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is
17 begin
18 assert false report "VHPI" severity failure;
19 end sim_console_read;
20
21 procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is
22 begin
23 assert false report "VHPI" severity failure;
24 end sim_console_poll;
25
26 procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is
27 begin
28 assert false report "VHPI" severity failure;
29 end sim_console_write;
30 end sim_console;