pep8 cleanup
[pinmux.git] / src / bsv / pinmux_generator.py
1 # ================================== Steps to add peripherals ============
2 # Step-1: create interface declaration for the peripheral to be added.
3 # Remember these are interfaces defined for the pinmux and hence
4 # will be opposite to those defined at the peripheral.
5 # For eg. the output TX from the UART will be input (method Action)
6 # for the pinmux.
7 # These changes will have to be done in interface_decl.py
8 # Step-2 define the wires that will be required to transfer data from the
9 # peripheral interface to the IO cell and vice-versa. Create a
10 # mkDWire for each input/output between the peripheral and the
11 # pinmux. Also create an implicit wire of GenericIOType for each cell
12 # that can be connected to a each bit from the peripheral.
13 # These changes will have to be done in wire_def.py
14 # Step-3: create the definitions for each of the methods defined above.
15 # These changes will have to be done in interface_decl.py
16 # ========================================================================
17
18 # default module imports
19 import shutil
20 import os
21 import os.path
22 import time
23
24 # project module imports
25 from bsv.interface_decl import Interfaces, mux_interface, io_interface
26 from parse import Parse
27 from bsv.actual_pinmux import init
28 from bsv.bus_transactors import axi4_lite
29
30 copyright = '''
31 /*
32 This BSV file has been generated by the PinMux tool available at:
33 https://bitbucket.org/casl/pinmux.
34
35 Authors: Neel Gala, Luke
36 Date of generation: ''' + time.strftime("%c") + '''
37 */
38 '''
39 header = copyright + '''
40 package pinmux;
41
42 '''
43 footer = '''
44 endmodule
45 endpackage
46 '''
47
48
49 def pinmuxgen(pth=None, verify=True):
50 """ populating the file with the code
51 """
52
53 p = Parse(pth, verify)
54 iocells = Interfaces()
55 iocells.ifaceadd('io', p.N_IO, io_interface, 0)
56 ifaces = Interfaces(pth)
57 #ifaces.ifaceadd('io', p.N_IO, io_interface, 0)
58 init(p, ifaces)
59
60 bp = 'bsv_src'
61 if pth:
62 bp = os.path.join(pth, bp)
63 if not os.path.exists(bp):
64 os.makedirs(bp)
65 bl = os.path.join(bp, 'bsv_lib')
66 if not os.path.exists(bl):
67 os.makedirs(bl)
68
69 cwd = os.path.split(__file__)[0]
70
71 # copy over template and library files
72 shutil.copyfile(os.path.join(cwd, 'Makefile.template'),
73 os.path.join(bp, 'Makefile'))
74 cwd = os.path.join(cwd, 'bsv_lib')
75 for fname in ['AXI4_Lite_Types.bsv', 'Semi_FIFOF.bsv']:
76 shutil.copyfile(os.path.join(cwd, fname),
77 os.path.join(bl, fname))
78
79 bus = os.path.join(bp, 'busenable.bsv')
80 pmp = os.path.join(bp, 'pinmux.bsv')
81 ptp = os.path.join(bp, 'PinTop.bsv')
82 bvp = os.path.join(bp, 'bus.bsv')
83
84 write_pmp(pmp, p, ifaces, iocells)
85 write_ptp(ptp, p, ifaces)
86 write_bvp(bvp, p, ifaces)
87 write_bus(bus, p, ifaces)
88
89
90 def write_bus(bus, p, ifaces):
91 # package and interface declaration followed by
92 # the generic io_cell definition
93 with open(bus, "w") as bsv_file:
94 ifaces.busfmt(bsv_file)
95
96
97 def write_pmp(pmp, p, ifaces, iocells):
98 # package and interface declaration followed by
99 # the generic io_cell definition
100 with open(pmp, "w") as bsv_file:
101 bsv_file.write(header)
102
103 cell_bit_width = 'Bit#(%d)' % p.cell_bitwidth
104 bsv_file.write('''\
105 interface MuxSelectionLines;
106
107 // declare the method which will capture the user pin-mux
108 // selection values.The width of the input is dependent on the number
109 // of muxes happening per IO. For now we have a generalized width
110 // where each IO will have the same number of muxes.''')
111
112 for cell in p.muxed_cells:
113 bsv_file.write(mux_interface.ifacefmt(cell[0], cell_bit_width))
114
115 bsv_file.write("\n endinterface\n")
116
117 bsv_file.write('''
118
119 interface IOCellSide;
120 // declare the interface to the IO cells.
121 // Each IO cell will have 1 input field (output from pin mux)
122 // and an output and out-enable field (input to pinmux)''')
123
124 # == create method definitions for all iocell interfaces ==#
125 iocells.ifacefmt(bsv_file)
126
127 # ===== finish interface definition and start module definition=======
128 bsv_file.write("\n endinterface\n")
129
130 # ===== io cell definition =======
131 bsv_file.write('''
132
133 interface PeripheralSide;
134 // declare the interface to the peripherals
135 // Each peripheral's function will be either an input, output
136 // or be bi-directional. an input field will be an output from the
137 // peripheral and an output field will be an input to the peripheral.
138 // Bi-directional functions also have an output-enable (which
139 // again comes *in* from the peripheral)''')
140 # ==============================================================
141
142 # == create method definitions for all peripheral interfaces ==#
143 ifaces.ifacefmt(bsv_file)
144 bsv_file.write("\n endinterface\n")
145
146 # ===== finish interface definition and start module definition=======
147 bsv_file.write('''
148
149 interface Ifc_pinmux;
150 // this interface controls how each IO cell is routed. setting
151 // any given IO cell's mux control value will result in redirection
152 // of not just the input or output to different peripheral functions
153 // but also the *direction* control - if appropriate - as well.
154 interface MuxSelectionLines mux_lines;
155
156 // this interface contains the inputs, outputs and direction-control
157 // lines for all peripherals. GPIO is considered to also be just
158 // a peripheral because it also has in, out and direction-control.
159 interface PeripheralSide peripheral_side;
160
161 // this interface is to be linked to the individual IO cells.
162 // if looking at a "non-muxed" GPIO design, basically the
163 // IO cell input, output and direction-control wires are cut
164 // (giving six pairs of dangling wires, named left and right)
165 // these iocells are routed in their place on one side ("left")
166 // and the matching *GPIO* peripheral interfaces in/out/dir
167 // connect to the OTHER side ("right"). the result is that
168 // the muxer settings end up controlling the routing of where
169 // the I/O from the IOcell actually goes.
170 interface IOCellSide iocell_side;
171 endinterface
172 (*synthesize*)
173 module mkpinmux(Ifc_pinmux);
174 ''')
175 # ====================================================================
176
177 # ======================= create wire and registers =================#
178 bsv_file.write('''
179 // the followins wires capture the pin-mux selection
180 // values for each mux assigned to a CELL
181 ''')
182 for cell in p.muxed_cells:
183 bsv_file.write(mux_interface.wirefmt(
184 cell[0], cell_bit_width))
185
186 iocells.wirefmt(bsv_file)
187 ifaces.wirefmt(bsv_file)
188
189 bsv_file.write("\n")
190 # ====================================================================
191 # ========================= Actual pinmuxing ========================#
192 bsv_file.write('''
193 /*====== This where the muxing starts for each io-cell======*/
194 ''')
195 bsv_file.write(p.pinmux)
196 bsv_file.write('''
197 /*============================================================*/
198 ''')
199 # ====================================================================
200 # ================= interface definitions for each method =============#
201 bsv_file.write('''
202 interface mux_lines = interface MuxSelectionLines
203 ''')
204 for cell in p.muxed_cells:
205 bsv_file.write(
206 mux_interface.ifacedef(
207 cell[0], cell_bit_width))
208 bsv_file.write("\n endinterface;")
209
210 bsv_file.write('''
211 interface iocell_side = interface IOCellSide
212 ''')
213 iocells.ifacedef(bsv_file)
214 bsv_file.write("\n endinterface;")
215
216 bsv_file.write('''
217 interface peripheral_side = interface PeripheralSide
218 ''')
219 ifaces.ifacedef(bsv_file)
220 bsv_file.write("\n endinterface;")
221
222 bsv_file.write(footer)
223 print("BSV file successfully generated: bsv_src/pinmux.bsv")
224 # ======================================================================
225
226
227 def write_ptp(ptp, p, ifaces):
228 with open(ptp, 'w') as bsv_file:
229 bsv_file.write(copyright + '''
230 package PinTop;
231 import pinmux::*;
232 interface Ifc_PintTop;
233 method ActionValue#(Bool) write(Bit#({0}) addr, Bit#({1}) data);
234 method Tuple2#(Bool,Bit#({1})) read(Bit#({0}) addr);
235 interface PeripheralSide peripheral_side;
236 endinterface
237
238 module mkPinTop(Ifc_PintTop);
239 // instantiate the pin-mux module here
240 Ifc_pinmux pinmux <-mkpinmux;
241
242 // declare the registers which will be used to mux the IOs
243 '''.format(p.ADDR_WIDTH, p.DATA_WIDTH))
244
245 cell_bit_width = str(p.cell_bitwidth)
246 for cell in p.muxed_cells:
247 bsv_file.write('''
248 Reg#(Bit#({0})) rg_muxio_{1} <-mkReg(0);'''.format(
249 cell_bit_width, cell[0]))
250
251 bsv_file.write('''
252 // rule to connect the registers to the selection lines of the
253 // pin-mux module
254 rule connect_selection_registers;''')
255
256 for cell in p.muxed_cells:
257 bsv_file.write('''
258 pinmux.mux_lines.cell{0}_mux(rg_muxio_{0});'''.format(cell[0]))
259
260 bsv_file.write('''
261 endrule
262 // method definitions for the write user interface
263 method ActionValue#(Bool) write(Bit#({2}) addr, Bit#({3}) data);
264 Bool err=False;
265 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
266 p.ADDR_WIDTH, p.DATA_WIDTH))
267 index = 0
268 for cell in p.muxed_cells:
269 bsv_file.write('''
270 {0}: rg_muxio_{1}<=truncate(data);'''.format(index, cell[0]))
271 index = index + 1
272
273 bsv_file.write('''
274 default: err=True;
275 endcase
276 return err;
277 endmethod''')
278
279 bsv_file.write('''
280 // method definitions for the read user interface
281 method Tuple2#(Bool,Bit#({3})) read(Bit#({2}) addr);
282 Bool err=False;
283 Bit#(32) data=0;
284 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
285 p.ADDR_WIDTH, p.DATA_WIDTH))
286 index = 0
287 for cell in p.muxed_cells:
288 bsv_file.write('''
289 {0}: data=zeroExtend(rg_muxio_{1});'''.format(index, cell[0]))
290 index = index + 1
291
292 bsv_file.write('''
293 default:err=True;
294 endcase
295 return tuple2(err,data);
296 endmethod
297 interface peripheral_side=pinmux.peripheral_side;
298 endmodule
299 endpackage
300 ''')
301
302
303 def write_bvp(bvp, p, ifaces):
304 # ######## Generate bus transactors ################
305 with open(bvp, 'w') as bsv_file:
306 bsv_file.write(axi4_lite.format(p.ADDR_WIDTH, p.DATA_WIDTH))
307 # ##################################################