more random experimenting
[ieee754fpu.git] / src / ieee754 / fpdiv / div0.py
1 """IEEE754 Floating Point Divider
2
3 Relevant bugreport: http://bugs.libre-riscv.org/show_bug.cgi?id=99
4 """
5
6 from nmigen import Module, Signal, Cat, Elaboratable, Const
7 from nmigen.cli import main, verilog
8
9 from ieee754.fpcommon.fpbase import (FPNumBaseRecord, Overflow)
10 from ieee754.fpcommon.fpbase import FPState
11 from ieee754.fpcommon.denorm import FPSCData
12 from ieee754.fpcommon.getop import FPPipeContext
13 from ieee754.div_rem_sqrt_rsqrt.div_pipe import DivPipeInputData
14
15
16 class FPDivStage0Mod(Elaboratable):
17
18 def __init__(self, pspec):
19 self.pspec = pspec
20 self.i = self.ispec()
21 self.o = self.ospec()
22
23 def ispec(self):
24 return FPSCData(self.pspec, False)
25
26 def ospec(self):
27 return DivPipeInputData(self.pspec)
28
29 def process(self, i):
30 return self.o
31
32 def setup(self, m, i):
33 """ links module to inputs and outputs
34 """
35 m.submodules.div0 = self
36 m.d.comb += self.i.eq(i)
37
38 def elaborate(self, platform):
39 m = Module()
40
41 # XXX TODO, actual DIV code here. this class would be
42 # "step one" which takes the pre-normalised data (see ispec) and
43 # *begins* the processing phase (enters the massive DIV
44 # pipeline chain) - see ospec.
45
46 # INPUT SPEC: FPSCData
47 # OUTPUT SPEC: DivPipeInputData
48
49 # NOTE: this stage does *NOT* do *ACTUAL* DIV processing,
50 # it is PURELY the *ENTRY* point into the chain, performing
51 # "preparation" work.
52
53 with m.If(~self.i.out_do_z):
54 # do conversion here, of both self.i.a and self.i.b,
55 # into DivPipeInputData dividend and divisor.
56
57 # the mantissas, having been de-normalised (and containing
58 # a "1" in the MSB) represent numbers in the range 0.5 to
59 # 0.9999999-recurring. the min and max range of the
60 # result is therefore 0.4999999 (0.5/0.99999) and 1.9999998
61 # (0.99999/0.5).
62
63 # zero-extend the mantissas (room for sticky/guard)
64 # plus the extra MSB. See DivPipeBaseStage.get_core_config
65 am0 = Signal(len(self.i.a.m)+3, reset_less=True)
66 bm0 = Signal(len(self.i.b.m)+3, reset_less=True)
67 m.d.comb += [
68 am0.eq(Cat(0,0,0,self.i.a.m, 0)),
69 bm0.eq(Cat(0,0,0,self.i.b.m, 0)),
70 #am0.eq(0x392),
71 #bm0.eq(0x1110),
72 ]
73
74 m.d.comb += [self.o.z.e.eq(self.i.a.e - self.i.b.e + 1),
75 self.o.z.s.eq(self.i.a.s ^ self.i.b.s),
76 self.o.dividend[len(self.i.a.m)+3:].eq(am0), # TODO: check
77 self.o.divisor_radicand.eq(bm0), # TODO: check
78 self.o.operation.eq(Const(0)) # TODO check: DIV
79 ]
80
81 # these are required and must not be touched
82 m.d.comb += self.o.oz.eq(self.i.oz)
83 m.d.comb += self.o.out_do_z.eq(self.i.out_do_z)
84 m.d.comb += self.o.ctx.eq(self.i.ctx)
85
86 return m
87
88
89 class FPDivStage0(FPState):
90 """ First stage of div.
91 """
92
93 def __init__(self, pspec):
94 FPState.__init__(self, "divider_0")
95 self.mod = FPDivStage0Mod(pspec)
96 self.o = self.mod.ospec()
97
98 def setup(self, m, i):
99 """ links module to inputs and outputs
100 """
101 self.mod.setup(m, i)
102
103 # NOTE: these could be done as combinatorial (merge div0+div1)
104 m.d.sync += self.o.eq(self.mod.o)
105
106 def action(self, m):
107 m.next = "divider_1"