reduce gate usage by getting cache row only not entire cache array
[soc.git] / src / soc / experiment / icache.py
1 """ICache
2
3 based on Anton Blanchard microwatt icache.vhdl
4
5 Set associative icache
6
7 TODO (in no specific order):
8 * Add debug interface to inspect cache content
9 * Add snoop/invalidate path
10 * Add multi-hit error detection
11 * Pipelined bus interface (wb or axi)
12 * Maybe add parity? There's a few bits free in each BRAM row on Xilinx
13 * Add optimization: service hits on partially loaded lines
14 * Add optimization: (maybe) interrupt reload on fluch/redirect
15 * Check if playing with the geometry of the cache tags allow for more
16 efficient use of distributed RAM and less logic/muxes. Currently we
17 write TAG_BITS width which may not match full ram blocks and might
18 cause muxes to be inferred for "partial writes".
19 * Check if making the read size of PLRU a ROM helps utilization
20
21 """
22 from enum import Enum, unique
23 from nmigen import (Module, Signal, Elaboratable, Cat, Array, Const)
24 from nmigen.cli import main, rtlil
25 from nmutil.iocontrol import RecordObject
26 from nmigen.utils import log2_int
27 from nmutil.util import Display
28
29 #from nmutil.plru import PLRU
30 from soc.experiment.cache_ram import CacheRam
31 from soc.experiment.plru import PLRU
32
33 from soc.experiment.mem_types import (Fetch1ToICacheType,
34 ICacheToDecode1Type,
35 MMUToICacheType)
36
37 from soc.experiment.wb_types import (WB_ADDR_BITS, WB_DATA_BITS,
38 WB_SEL_BITS, WBAddrType, WBDataType,
39 WBSelType, WBMasterOut, WBSlaveOut,
40 WBMasterOutVector, WBSlaveOutVector,
41 WBIOMasterOut, WBIOSlaveOut)
42
43 # for test
44 from nmigen_soc.wishbone.sram import SRAM
45 from nmigen import Memory
46 from nmutil.util import wrap
47 from nmigen.cli import main, rtlil
48 if True:
49 from nmigen.back.pysim import Simulator, Delay, Settle
50 else:
51 from nmigen.sim.cxxsim import Simulator, Delay, Settle
52
53
54 SIM = 0
55 LINE_SIZE = 64
56 # BRAM organisation: We never access more than wishbone_data_bits
57 # at a time so to save resources we make the array only that wide,
58 # and use consecutive indices for to make a cache "line"
59 #
60 # ROW_SIZE is the width in bytes of the BRAM (based on WB, so 64-bits)
61 ROW_SIZE = WB_DATA_BITS // 8
62 # Number of lines in a set
63 NUM_LINES = 32
64 # Number of ways
65 NUM_WAYS = 4
66 # L1 ITLB number of entries (direct mapped)
67 TLB_SIZE = 64
68 # L1 ITLB log_2(page_size)
69 TLB_LG_PGSZ = 12
70 # Number of real address bits that we store
71 REAL_ADDR_BITS = 56
72 # Non-zero to enable log data collection
73 LOG_LENGTH = 0
74
75 ROW_SIZE_BITS = ROW_SIZE * 8
76 # ROW_PER_LINE is the number of row
77 # (wishbone) transactions in a line
78 ROW_PER_LINE = LINE_SIZE // ROW_SIZE
79 # BRAM_ROWS is the number of rows in
80 # BRAM needed to represent the full icache
81 BRAM_ROWS = NUM_LINES * ROW_PER_LINE
82 # INSN_PER_ROW is the number of 32bit
83 # instructions per BRAM row
84 INSN_PER_ROW = ROW_SIZE_BITS // 32
85
86 print("ROW_SIZE", ROW_SIZE)
87 print("ROW_SIZE_BITS", ROW_SIZE_BITS)
88 print("ROW_PER_LINE", ROW_PER_LINE)
89 print("BRAM_ROWS", BRAM_ROWS)
90 print("INSN_PER_ROW", INSN_PER_ROW)
91
92 # Bit fields counts in the address
93 #
94 # INSN_BITS is the number of bits to
95 # select an instruction in a row
96 INSN_BITS = log2_int(INSN_PER_ROW)
97 # ROW_BITS is the number of bits to
98 # select a row
99 ROW_BITS = log2_int(BRAM_ROWS)
100 # ROW_LINEBITS is the number of bits to
101 # select a row within a line
102 ROW_LINE_BITS = log2_int(ROW_PER_LINE)
103 # LINE_OFF_BITS is the number of bits for
104 # the offset in a cache line
105 LINE_OFF_BITS = log2_int(LINE_SIZE)
106 # ROW_OFF_BITS is the number of bits for
107 # the offset in a row
108 ROW_OFF_BITS = log2_int(ROW_SIZE)
109 # INDEX_BITS is the number of bits to
110 # select a cache line
111 INDEX_BITS = log2_int(NUM_LINES)
112 # SET_SIZE_BITS is the log base 2 of
113 # the set size
114 SET_SIZE_BITS = LINE_OFF_BITS + INDEX_BITS
115 # TAG_BITS is the number of bits of
116 # the tag part of the address
117 TAG_BITS = REAL_ADDR_BITS - SET_SIZE_BITS
118 # TAG_WIDTH is the width in bits of each way of the tag RAM
119 TAG_WIDTH = TAG_BITS + 7 - ((TAG_BITS + 7) % 8)
120
121 # WAY_BITS is the number of bits to
122 # select a way
123 WAY_BITS = log2_int(NUM_WAYS)
124 TAG_RAM_WIDTH = TAG_BITS * NUM_WAYS
125
126 # -- L1 ITLB.
127 # constant TLB_BITS : natural := log2(TLB_SIZE);
128 # constant TLB_EA_TAG_BITS : natural := 64 - (TLB_LG_PGSZ + TLB_BITS);
129 # constant TLB_PTE_BITS : natural := 64;
130 TLB_BITS = log2_int(TLB_SIZE)
131 TLB_EA_TAG_BITS = 64 - (TLB_LG_PGSZ + TLB_BITS)
132 TLB_PTE_BITS = 64
133
134
135 print("INSN_BITS", INSN_BITS)
136 print("ROW_BITS", ROW_BITS)
137 print("ROW_LINE_BITS", ROW_LINE_BITS)
138 print("LINE_OFF_BITS", LINE_OFF_BITS)
139 print("ROW_OFF_BITS", ROW_OFF_BITS)
140 print("INDEX_BITS", INDEX_BITS)
141 print("SET_SIZE_BITS", SET_SIZE_BITS)
142 print("TAG_BITS", TAG_BITS)
143 print("WAY_BITS", WAY_BITS)
144 print("TAG_RAM_WIDTH", TAG_RAM_WIDTH)
145 print("TLB_BITS", TLB_BITS)
146 print("TLB_EA_TAG_BITS", TLB_EA_TAG_BITS)
147 print("TLB_PTE_BITS", TLB_PTE_BITS)
148
149
150
151
152 # architecture rtl of icache is
153 #constant ROW_SIZE_BITS : natural := ROW_SIZE*8;
154 #-- ROW_PER_LINE is the number of row (wishbone
155 #-- transactions) in a line
156 #constant ROW_PER_LINE : natural := LINE_SIZE / ROW_SIZE;
157 #-- BRAM_ROWS is the number of rows in BRAM
158 #-- needed to represent the full
159 #-- icache
160 #constant BRAM_ROWS : natural := NUM_LINES * ROW_PER_LINE;
161 #-- INSN_PER_ROW is the number of 32bit instructions per BRAM row
162 #constant INSN_PER_ROW : natural := ROW_SIZE_BITS / 32;
163 #-- Bit fields counts in the address
164 #
165 #-- INSN_BITS is the number of bits to select
166 #-- an instruction in a row
167 #constant INSN_BITS : natural := log2(INSN_PER_ROW);
168 #-- ROW_BITS is the number of bits to select a row
169 #constant ROW_BITS : natural := log2(BRAM_ROWS);
170 #-- ROW_LINEBITS is the number of bits to
171 #-- select a row within a line
172 #constant ROW_LINEBITS : natural := log2(ROW_PER_LINE);
173 #-- LINE_OFF_BITS is the number of bits for the offset
174 #-- in a cache line
175 #constant LINE_OFF_BITS : natural := log2(LINE_SIZE);
176 #-- ROW_OFF_BITS is the number of bits for the offset in a row
177 #constant ROW_OFF_BITS : natural := log2(ROW_SIZE);
178 #-- INDEX_BITS is the number of bits to select a cache line
179 #constant INDEX_BITS : natural := log2(NUM_LINES);
180 #-- SET_SIZE_BITS is the log base 2 of the set size
181 #constant SET_SIZE_BITS : natural := LINE_OFF_BITS + INDEX_BITS;
182 #-- TAG_BITS is the number of bits of the tag part of the address
183 #constant TAG_BITS : natural := REAL_ADDR_BITS - SET_SIZE_BITS;
184 #-- WAY_BITS is the number of bits to select a way
185 #constant WAY_BITS : natural := log2(NUM_WAYS);
186
187 #-- Example of layout for 32 lines of 64 bytes:
188 #--
189 #-- .. tag |index| line |
190 #-- .. | row | |
191 #-- .. | | | |00| zero (2)
192 #-- .. | | |-| | INSN_BITS (1)
193 #-- .. | |---| | ROW_LINEBITS (3)
194 #-- .. | |--- - --| LINE_OFF_BITS (6)
195 #-- .. | |- --| ROW_OFF_BITS (3)
196 #-- .. |----- ---| | ROW_BITS (8)
197 #-- .. |-----| | INDEX_BITS (5)
198 #-- .. --------| | TAG_BITS (53)
199 # Example of layout for 32 lines of 64 bytes:
200 #
201 # .. tag |index| line |
202 # .. | row | |
203 # .. | | | |00| zero (2)
204 # .. | | |-| | INSN_BITS (1)
205 # .. | |---| | ROW_LINEBITS (3)
206 # .. | |--- - --| LINE_OFF_BITS (6)
207 # .. | |- --| ROW_OFF_BITS (3)
208 # .. |----- ---| | ROW_BITS (8)
209 # .. |-----| | INDEX_BITS (5)
210 # .. --------| | TAG_BITS (53)
211
212 #subtype row_t is integer range 0 to BRAM_ROWS-1;
213 #subtype index_t is integer range 0 to NUM_LINES-1;
214 #subtype way_t is integer range 0 to NUM_WAYS-1;
215 #subtype row_in_line_t is unsigned(ROW_LINEBITS-1 downto 0);
216 #
217 #-- The cache data BRAM organized as described above for each way
218 #subtype cache_row_t is std_ulogic_vector(ROW_SIZE_BITS-1 downto 0);
219 #
220 #-- The cache tags LUTRAM has a row per set. Vivado is a pain and will
221 #-- not handle a clean (commented) definition of the cache tags as a 3d
222 #-- memory. For now, work around it by putting all the tags
223 #subtype cache_tag_t is std_logic_vector(TAG_BITS-1 downto 0);
224 # type cache_tags_set_t is array(way_t) of cache_tag_t;
225 # type cache_tags_array_t is array(index_t) of cache_tags_set_t;
226 #constant TAG_RAM_WIDTH : natural := TAG_BITS * NUM_WAYS;
227 #subtype cache_tags_set_t is std_logic_vector(TAG_RAM_WIDTH-1 downto 0);
228 #type cache_tags_array_t is array(index_t) of cache_tags_set_t;
229 def CacheTagArray():
230 return Array(Signal(TAG_RAM_WIDTH, name="cachetag_%d" %x) \
231 for x in range(NUM_LINES))
232
233 #-- The cache valid bits
234 #subtype cache_way_valids_t is std_ulogic_vector(NUM_WAYS-1 downto 0);
235 #type cache_valids_t is array(index_t) of cache_way_valids_t;
236 #type row_per_line_valid_t is array(0 to ROW_PER_LINE - 1) of std_ulogic;
237 def CacheValidBitsArray():
238 return Array(Signal(NUM_WAYS, name="cachevalid_%d" %x) \
239 for x in range(NUM_LINES))
240
241 def RowPerLineValidArray():
242 return Array(Signal(name="rows_valid_%d" %x) \
243 for x in range(ROW_PER_LINE))
244
245
246 #attribute ram_style : string;
247 #attribute ram_style of cache_tags : signal is "distributed";
248 # TODO to be passed to nigmen as ram attributes
249 # attribute ram_style : string;
250 # attribute ram_style of cache_tags : signal is "distributed";
251
252
253 #subtype tlb_index_t is integer range 0 to TLB_SIZE - 1;
254 #type tlb_valids_t is array(tlb_index_t) of std_ulogic;
255 #subtype tlb_tag_t is std_ulogic_vector(TLB_EA_TAG_BITS - 1 downto 0);
256 #type tlb_tags_t is array(tlb_index_t) of tlb_tag_t;
257 #subtype tlb_pte_t is std_ulogic_vector(TLB_PTE_BITS - 1 downto 0);
258 #type tlb_ptes_t is array(tlb_index_t) of tlb_pte_t;
259 def TLBValidBitsArray():
260 return Array(Signal(name="tlbvalid_%d" %x) \
261 for x in range(TLB_SIZE))
262
263 def TLBTagArray():
264 return Array(Signal(TLB_EA_TAG_BITS, name="tlbtag_%d" %x) \
265 for x in range(TLB_SIZE))
266
267 def TLBPtesArray():
268 return Array(Signal(TLB_PTE_BITS, name="tlbptes_%d" %x) \
269 for x in range(TLB_SIZE))
270
271
272 #-- Cache RAM interface
273 #type cache_ram_out_t is array(way_t) of cache_row_t;
274 # Cache RAM interface
275 def CacheRamOut():
276 return Array(Signal(ROW_SIZE_BITS, name="cache_out_%d" %x) \
277 for x in range(NUM_WAYS))
278
279 #-- PLRU output interface
280 #type plru_out_t is array(index_t) of
281 # std_ulogic_vector(WAY_BITS-1 downto 0);
282 # PLRU output interface
283 def PLRUOut():
284 return Array(Signal(WAY_BITS, name="plru_out_%d" %x) \
285 for x in range(NUM_LINES))
286
287 # -- Return the cache line index (tag index) for an address
288 # function get_index(addr: std_ulogic_vector(63 downto 0))
289 # return index_t is
290 # begin
291 # return to_integer(unsigned(
292 # addr(SET_SIZE_BITS - 1 downto LINE_OFF_BITS)
293 # ));
294 # end;
295 # Return the cache line index (tag index) for an address
296 def get_index(addr):
297 return addr[LINE_OFF_BITS:SET_SIZE_BITS]
298
299 # -- Return the cache row index (data memory) for an address
300 # function get_row(addr: std_ulogic_vector(63 downto 0))
301 # return row_t is
302 # begin
303 # return to_integer(unsigned(
304 # addr(SET_SIZE_BITS - 1 downto ROW_OFF_BITS)
305 # ));
306 # end;
307 # Return the cache row index (data memory) for an address
308 def get_row(addr):
309 return addr[ROW_OFF_BITS:SET_SIZE_BITS]
310
311 # -- Return the index of a row within a line
312 # function get_row_of_line(row: row_t) return row_in_line_t is
313 # variable row_v : unsigned(ROW_BITS-1 downto 0);
314 # begin
315 # row_v := to_unsigned(row, ROW_BITS);
316 # return row_v(ROW_LINEBITS-1 downto 0);
317 # end;
318 # Return the index of a row within a line
319 def get_row_of_line(row):
320 return row[:ROW_LINE_BITS]
321
322 # -- Returns whether this is the last row of a line
323 # function is_last_row_addr(addr: wishbone_addr_type;
324 # last: row_in_line_t
325 # )
326 # return boolean is
327 # begin
328 # return unsigned(
329 # addr(LINE_OFF_BITS-1 downto ROW_OFF_BITS)
330 # ) = last;
331 # end;
332 # Returns whether this is the last row of a line
333 def is_last_row_addr(addr, last):
334 return addr[ROW_OFF_BITS:LINE_OFF_BITS] == last
335
336 # -- Returns whether this is the last row of a line
337 # function is_last_row(row: row_t;
338 # last: row_in_line_t) return boolean is
339 # begin
340 # return get_row_of_line(row) = last;
341 # end;
342 # Returns whether this is the last row of a line
343 def is_last_row(row, last):
344 return get_row_of_line(row) == last
345
346 # -- Return the next row in the current cache line. We use a dedicated
347 # -- function in order to limit the size of the generated adder to be
348 # -- only the bits within a cache line (3 bits with default settings)
349 # function next_row(row: row_t) return row_t is
350 # variable row_v : std_ulogic_vector(ROW_BITS-1 downto 0);
351 # variable row_idx : std_ulogic_vector(ROW_LINEBITS-1 downto 0);
352 # variable result : std_ulogic_vector(ROW_BITS-1 downto 0);
353 # begin
354 # row_v := std_ulogic_vector(to_unsigned(row, ROW_BITS));
355 # row_idx := row_v(ROW_LINEBITS-1 downto 0);
356 # row_v(ROW_LINEBITS-1 downto 0) :=
357 # std_ulogic_vector(unsigned(row_idx) + 1);
358 # return to_integer(unsigned(row_v));
359 # end;
360 # Return the next row in the current cache line. We use a dedicated
361 # function in order to limit the size of the generated adder to be
362 # only the bits within a cache line (3 bits with default settings)
363 def next_row(row):
364 row_v = row[0:ROW_LINE_BITS] + 1
365 return Cat(row_v[:ROW_LINE_BITS], row[ROW_LINE_BITS:])
366 # -- Read the instruction word for the given address in the
367 # -- current cache row
368 # function read_insn_word(addr: std_ulogic_vector(63 downto 0);
369 # data: cache_row_t) return std_ulogic_vector is
370 # variable word: integer range 0 to INSN_PER_ROW-1;
371 # begin
372 # word := to_integer(unsigned(addr(INSN_BITS+2-1 downto 2)));
373 # return data(31+word*32 downto word*32);
374 # end;
375 # Read the instruction word for the given address
376 # in the current cache row
377 def read_insn_word(addr, data):
378 word = addr[2:INSN_BITS+2]
379 return data.word_select(word, 32)
380
381 # -- Get the tag value from the address
382 # function get_tag(
383 # addr: std_ulogic_vector(REAL_ADDR_BITS - 1 downto 0)
384 # )
385 # return cache_tag_t is
386 # begin
387 # return addr(REAL_ADDR_BITS - 1 downto SET_SIZE_BITS);
388 # end;
389 # Get the tag value from the address
390 def get_tag(addr):
391 return addr[SET_SIZE_BITS:REAL_ADDR_BITS]
392
393 # -- Read a tag from a tag memory row
394 # function read_tag(way: way_t; tagset: cache_tags_set_t)
395 # return cache_tag_t is
396 # begin
397 # return tagset((way+1) * TAG_BITS - 1 downto way * TAG_BITS);
398 # end;
399 # Read a tag from a tag memory row
400 def read_tag(way, tagset):
401 return tagset.word_select(way, TAG_BITS)
402
403 # -- Write a tag to tag memory row
404 # procedure write_tag(way: in way_t;
405 # tagset: inout cache_tags_set_t; tag: cache_tag_t) is
406 # begin
407 # tagset((way+1) * TAG_BITS - 1 downto way * TAG_BITS) := tag;
408 # end;
409 # Write a tag to tag memory row
410 def write_tag(way, tagset, tag):
411 return read_tag(way, tagset).eq(tag)
412
413 # -- Simple hash for direct-mapped TLB index
414 # function hash_ea(addr: std_ulogic_vector(63 downto 0))
415 # return tlb_index_t is
416 # variable hash : std_ulogic_vector(TLB_BITS - 1 downto 0);
417 # begin
418 # hash := addr(TLB_LG_PGSZ + TLB_BITS - 1 downto TLB_LG_PGSZ)
419 # xor addr(
420 # TLB_LG_PGSZ + 2 * TLB_BITS - 1 downto
421 # TLB_LG_PGSZ + TLB_BITS
422 # )
423 # xor addr(
424 # TLB_LG_PGSZ + 3 * TLB_BITS - 1 downto
425 # TLB_LG_PGSZ + 2 * TLB_BITS
426 # );
427 # return to_integer(unsigned(hash));
428 # end;
429 # Simple hash for direct-mapped TLB index
430 def hash_ea(addr):
431 hsh = addr[TLB_LG_PGSZ:TLB_LG_PGSZ + TLB_BITS] ^ addr[
432 TLB_LG_PGSZ + TLB_BITS:TLB_LG_PGSZ + 2 * TLB_BITS
433 ] ^ addr[
434 TLB_LG_PGSZ + 2 * TLB_BITS:TLB_LG_PGSZ + 3 * TLB_BITS
435 ]
436 return hsh
437
438 # begin
439 #
440 # assert LINE_SIZE mod ROW_SIZE = 0;
441 # assert ispow2(LINE_SIZE) report "LINE_SIZE not power of 2"
442 # severity FAILURE;
443 # assert ispow2(NUM_LINES) report "NUM_LINES not power of 2"
444 # severity FAILURE;
445 # assert ispow2(ROW_PER_LINE) report "ROW_PER_LINE not power of 2"
446 # severity FAILURE;
447 # assert ispow2(INSN_PER_ROW) report "INSN_PER_ROW not power of 2"
448 # severity FAILURE;
449 # assert (ROW_BITS = INDEX_BITS + ROW_LINEBITS)
450 # report "geometry bits don't add up" severity FAILURE;
451 # assert (LINE_OFF_BITS = ROW_OFF_BITS + ROW_LINEBITS)
452 # report "geometry bits don't add up" severity FAILURE;
453 # assert (REAL_ADDR_BITS = TAG_BITS + INDEX_BITS + LINE_OFF_BITS)
454 # report "geometry bits don't add up" severity FAILURE;
455 # assert (REAL_ADDR_BITS = TAG_BITS + ROW_BITS + ROW_OFF_BITS)
456 # report "geometry bits don't add up" severity FAILURE;
457 #
458 # sim_debug: if SIM generate
459 # debug: process
460 # begin
461 # report "ROW_SIZE = " & natural'image(ROW_SIZE);
462 # report "ROW_PER_LINE = " & natural'image(ROW_PER_LINE);
463 # report "BRAM_ROWS = " & natural'image(BRAM_ROWS);
464 # report "INSN_PER_ROW = " & natural'image(INSN_PER_ROW);
465 # report "INSN_BITS = " & natural'image(INSN_BITS);
466 # report "ROW_BITS = " & natural'image(ROW_BITS);
467 # report "ROW_LINEBITS = " & natural'image(ROW_LINEBITS);
468 # report "LINE_OFF_BITS = " & natural'image(LINE_OFF_BITS);
469 # report "ROW_OFF_BITS = " & natural'image(ROW_OFF_BITS);
470 # report "INDEX_BITS = " & natural'image(INDEX_BITS);
471 # report "TAG_BITS = " & natural'image(TAG_BITS);
472 # report "WAY_BITS = " & natural'image(WAY_BITS);
473 # wait;
474 # end process;
475 # end generate;
476
477 # Cache reload state machine
478 @unique
479 class State(Enum):
480 IDLE = 0
481 CLR_TAG = 1
482 WAIT_ACK = 2
483
484 # type reg_internal_t is record
485 # -- Cache hit state (Latches for 1 cycle BRAM access)
486 # hit_way : way_t;
487 # hit_nia : std_ulogic_vector(63 downto 0);
488 # hit_smark : std_ulogic;
489 # hit_valid : std_ulogic;
490 #
491 # -- Cache miss state (reload state machine)
492 # state : state_t;
493 # wb : wishbone_master_out;
494 # store_way : way_t;
495 # store_index : index_t;
496 # store_row : row_t;
497 # store_tag : cache_tag_t;
498 # store_valid : std_ulogic;
499 # end_row_ix : row_in_line_t;
500 # rows_valid : row_per_line_valid_t;
501 #
502 # -- TLB miss state
503 # fetch_failed : std_ulogic;
504 # end record;
505 class RegInternal(RecordObject):
506 def __init__(self):
507 super().__init__()
508 # Cache hit state (Latches for 1 cycle BRAM access)
509 self.hit_way = Signal(NUM_WAYS)
510 self.hit_nia = Signal(64)
511 self.hit_smark = Signal()
512 self.hit_valid = Signal()
513
514 # Cache miss state (reload state machine)
515 self.state = Signal(State, reset=State.IDLE)
516 self.wb = WBMasterOut("wb")
517 self.req_adr = Signal(64)
518 self.store_way = Signal(NUM_WAYS)
519 self.store_index = Signal(NUM_LINES)
520 self.store_row = Signal(BRAM_ROWS)
521 self.store_tag = Signal(TAG_BITS)
522 self.store_valid = Signal()
523 self.end_row_ix = Signal(ROW_LINE_BITS)
524 self.rows_valid = RowPerLineValidArray()
525
526 # TLB miss state
527 self.fetch_failed = Signal()
528
529 # -- 64 bit direct mapped icache. All instructions are 4B aligned.
530 #
531 # entity icache is
532 # generic (
533 # SIM : boolean := false;
534 # -- Line size in bytes
535 # LINE_SIZE : positive := 64;
536 # -- BRAM organisation: We never access more
537 # -- than wishbone_data_bits
538 # -- at a time so to save resources we make the
539 # -- array only that wide,
540 # -- and use consecutive indices for to make a cache "line"
541 # --
542 # -- ROW_SIZE is the width in bytes of the BRAM (based on WB,
543 # -- so 64-bits)
544 # ROW_SIZE : positive := wishbone_data_bits / 8;
545 # -- Number of lines in a set
546 # NUM_LINES : positive := 32;
547 # -- Number of ways
548 # NUM_WAYS : positive := 4;
549 # -- L1 ITLB number of entries (direct mapped)
550 # TLB_SIZE : positive := 64;
551 # -- L1 ITLB log_2(page_size)
552 # TLB_LG_PGSZ : positive := 12;
553 # -- Number of real address bits that we store
554 # REAL_ADDR_BITS : positive := 56;
555 # -- Non-zero to enable log data collection
556 # LOG_LENGTH : natural := 0
557 # );
558 # port (
559 # clk : in std_ulogic;
560 # rst : in std_ulogic;
561 #
562 # i_in : in Fetch1ToIcacheType;
563 # i_out : out IcacheToDecode1Type;
564 #
565 # m_in : in MmuToIcacheType;
566 #
567 # stall_in : in std_ulogic;
568 # stall_out : out std_ulogic;
569 # flush_in : in std_ulogic;
570 # inval_in : in std_ulogic;
571 #
572 # wishbone_out : out wishbone_master_out;
573 # wishbone_in : in wishbone_slave_out;
574 #
575 # log_out : out std_ulogic_vector(53 downto 0)
576 # );
577 # end entity icache;
578 # 64 bit direct mapped icache. All instructions are 4B aligned.
579 class ICache(Elaboratable):
580 """64 bit direct mapped icache. All instructions are 4B aligned."""
581 def __init__(self):
582 self.i_in = Fetch1ToICacheType(name="i_in")
583 self.i_out = ICacheToDecode1Type(name="i_out")
584
585 self.m_in = MMUToICacheType(name="m_in")
586
587 self.stall_in = Signal()
588 self.stall_out = Signal()
589 self.flush_in = Signal()
590 self.inval_in = Signal()
591
592 self.wb_out = WBMasterOut(name="wb_out")
593 self.wb_in = WBSlaveOut(name="wb_in")
594
595 self.log_out = Signal(54)
596
597
598 # -- Generate a cache RAM for each way
599 # rams: for i in 0 to NUM_WAYS-1 generate
600 # signal do_read : std_ulogic;
601 # signal do_write : std_ulogic;
602 # signal rd_addr : std_ulogic_vector(ROW_BITS-1 downto 0);
603 # signal wr_addr : std_ulogic_vector(ROW_BITS-1 downto 0);
604 # signal dout : cache_row_t;
605 # signal wr_sel : std_ulogic_vector(ROW_SIZE-1 downto 0);
606 # begin
607 # way: entity work.cache_ram
608 # generic map (
609 # ROW_BITS => ROW_BITS,
610 # WIDTH => ROW_SIZE_BITS
611 # )
612 # port map (
613 # clk => clk,
614 # rd_en => do_read,
615 # rd_addr => rd_addr,
616 # rd_data => dout,
617 # wr_sel => wr_sel,
618 # wr_addr => wr_addr,
619 # wr_data => wishbone_in.dat
620 # );
621 # process(all)
622 # begin
623 # do_read <= not (stall_in or use_previous);
624 # do_write <= '0';
625 # if wishbone_in.ack = '1' and replace_way = i then
626 # do_write <= '1';
627 # end if;
628 # cache_out(i) <= dout;
629 # rd_addr <=
630 # std_ulogic_vector(to_unsigned(req_row, ROW_BITS));
631 # wr_addr <=
632 # std_ulogic_vector(to_unsigned(r.store_row, ROW_BITS));
633 # for i in 0 to ROW_SIZE-1 loop
634 # wr_sel(i) <= do_write;
635 # end loop;
636 # end process;
637 # end generate;
638 def rams(self, m, r, cache_out_row, use_previous, replace_way, req_row):
639 comb = m.d.comb
640
641 wb_in, stall_in = self.wb_in, self.stall_in
642
643
644 for i in range(NUM_WAYS):
645 do_read = Signal(name="do_rd_%d" % i)
646 do_write = Signal(name="do_wr_%d" % i)
647 rd_addr = Signal(ROW_BITS)
648 wr_addr = Signal(ROW_BITS)
649 d_out = Signal(ROW_SIZE_BITS, name="d_out_%d" % i)
650 wr_sel = Signal(ROW_SIZE)
651
652 way = CacheRam(ROW_BITS, ROW_SIZE_BITS, True)
653 setattr(m.submodules, "cacheram_%d" % i, way)
654
655 comb += way.rd_en.eq(do_read)
656 comb += way.rd_addr.eq(rd_addr)
657 comb += d_out.eq(way.rd_data_o)
658 comb += way.wr_sel.eq(wr_sel)
659 comb += way.wr_addr.eq(wr_addr)
660 comb += way.wr_data.eq(wb_in.dat)
661
662 comb += do_read.eq(~(stall_in | use_previous))
663
664 with m.If(wb_in.ack & (replace_way == i)):
665 comb += do_write.eq(1)
666
667 with m.If(r.hit_way == i):
668 comb += cache_out_row.eq(d_out)
669 comb += rd_addr.eq(req_row)
670 comb += wr_addr.eq(r.store_row)
671 for j in range(ROW_SIZE):
672 comb += wr_sel[j].eq(do_write)
673
674 # -- Generate PLRUs
675 # maybe_plrus: if NUM_WAYS > 1 generate
676 # begin
677 # plrus: for i in 0 to NUM_LINES-1 generate
678 # -- PLRU interface
679 # signal plru_acc : std_ulogic_vector(WAY_BITS-1 downto 0);
680 # signal plru_acc_en : std_ulogic;
681 # signal plru_out : std_ulogic_vector(WAY_BITS-1 downto 0);
682 #
683 # begin
684 # plru : entity work.plru
685 # generic map (
686 # BITS => WAY_BITS
687 # )
688 # port map (
689 # clk => clk,
690 # rst => rst,
691 # acc => plru_acc,
692 # acc_en => plru_acc_en,
693 # lru => plru_out
694 # );
695 #
696 # process(all)
697 # begin
698 # -- PLRU interface
699 # if get_index(r.hit_nia) = i then
700 # plru_acc_en <= r.hit_valid;
701 # else
702 # plru_acc_en <= '0';
703 # end if;
704 # plru_acc <=
705 # std_ulogic_vector(to_unsigned(r.hit_way, WAY_BITS));
706 # plru_victim(i) <= plru_out;
707 # end process;
708 # end generate;
709 # end generate;
710 def maybe_plrus(self, m, r, plru_victim):
711 comb = m.d.comb
712
713 with m.If(NUM_WAYS > 1):
714 for i in range(NUM_LINES):
715 plru_acc_i = Signal(WAY_BITS)
716 plru_acc_en = Signal()
717 plru = PLRU(WAY_BITS)
718 setattr(m.submodules, "plru_%d" % i, plru)
719
720 comb += plru.acc_i.eq(plru_acc_i)
721 comb += plru.acc_en.eq(plru_acc_en)
722
723 # PLRU interface
724 with m.If(get_index(r.hit_nia) == i):
725 comb += plru.acc_en.eq(r.hit_valid)
726
727 comb += plru.acc_i.eq(r.hit_way)
728 comb += plru_victim[i].eq(plru.lru_o)
729
730 # -- TLB hit detection and real address generation
731 # itlb_lookup : process(all)
732 # variable pte : tlb_pte_t;
733 # variable ttag : tlb_tag_t;
734 # begin
735 # tlb_req_index <= hash_ea(i_in.nia);
736 # pte := itlb_ptes(tlb_req_index);
737 # ttag := itlb_tags(tlb_req_index);
738 # if i_in.virt_mode = '1' then
739 # real_addr <= pte(REAL_ADDR_BITS - 1 downto TLB_LG_PGSZ) &
740 # i_in.nia(TLB_LG_PGSZ - 1 downto 0);
741 # if ttag = i_in.nia(63 downto TLB_LG_PGSZ + TLB_BITS) then
742 # ra_valid <= itlb_valids(tlb_req_index);
743 # else
744 # ra_valid <= '0';
745 # end if;
746 # eaa_priv <= pte(3);
747 # else
748 # real_addr <= i_in.nia(REAL_ADDR_BITS - 1 downto 0);
749 # ra_valid <= '1';
750 # eaa_priv <= '1';
751 # end if;
752 #
753 # -- no IAMR, so no KUEP support for now
754 # priv_fault <= eaa_priv and not i_in.priv_mode;
755 # access_ok <= ra_valid and not priv_fault;
756 # end process;
757 # TLB hit detection and real address generation
758 def itlb_lookup(self, m, tlb_req_index, itlb_ptes, itlb_tags,
759 real_addr, itlb_valid_bits, ra_valid, eaa_priv,
760 priv_fault, access_ok):
761 comb = m.d.comb
762
763 i_in = self.i_in
764
765 pte = Signal(TLB_PTE_BITS)
766 ttag = Signal(TLB_EA_TAG_BITS)
767
768 comb += tlb_req_index.eq(hash_ea(i_in.nia))
769 comb += pte.eq(itlb_ptes[tlb_req_index])
770 comb += ttag.eq(itlb_tags[tlb_req_index])
771
772 with m.If(i_in.virt_mode):
773 comb += real_addr.eq(Cat(
774 i_in.nia[:TLB_LG_PGSZ],
775 pte[TLB_LG_PGSZ:REAL_ADDR_BITS]
776 ))
777
778 with m.If(ttag == i_in.nia[TLB_LG_PGSZ + TLB_BITS:64]):
779 comb += ra_valid.eq(itlb_valid_bits[tlb_req_index])
780
781 comb += eaa_priv.eq(pte[3])
782
783 with m.Else():
784 comb += real_addr.eq(i_in.nia[:REAL_ADDR_BITS])
785 comb += ra_valid.eq(1)
786 comb += eaa_priv.eq(1)
787
788 # No IAMR, so no KUEP support for now
789 comb += priv_fault.eq(eaa_priv & ~i_in.priv_mode)
790 comb += access_ok.eq(ra_valid & ~priv_fault)
791
792 # -- iTLB update
793 # itlb_update: process(clk)
794 # variable wr_index : tlb_index_t;
795 # begin
796 # if rising_edge(clk) then
797 # wr_index := hash_ea(m_in.addr);
798 # if rst = '1' or
799 # (m_in.tlbie = '1' and m_in.doall = '1') then
800 # -- clear all valid bits
801 # for i in tlb_index_t loop
802 # itlb_valids(i) <= '0';
803 # end loop;
804 # elsif m_in.tlbie = '1' then
805 # -- clear entry regardless of hit or miss
806 # itlb_valids(wr_index) <= '0';
807 # elsif m_in.tlbld = '1' then
808 # itlb_tags(wr_index) <=
809 # m_in.addr(63 downto TLB_LG_PGSZ + TLB_BITS);
810 # itlb_ptes(wr_index) <= m_in.pte;
811 # itlb_valids(wr_index) <= '1';
812 # end if;
813 # end if;
814 # end process;
815 # iTLB update
816 def itlb_update(self, m, itlb_valid_bits, itlb_tags, itlb_ptes):
817 comb = m.d.comb
818 sync = m.d.sync
819
820 m_in = self.m_in
821
822 wr_index = Signal(TLB_SIZE)
823 sync += wr_index.eq(hash_ea(m_in.addr))
824
825 with m.If(m_in.tlbie & m_in.doall):
826 # Clear all valid bits
827 for i in range(TLB_SIZE):
828 sync += itlb_valid_bits[i].eq(0)
829
830 with m.Elif(m_in.tlbie):
831 # Clear entry regardless of hit or miss
832 sync += itlb_valid_bits[wr_index].eq(0)
833
834 with m.Elif(m_in.tlbld):
835 sync += itlb_tags[wr_index].eq(
836 m_in.addr[TLB_LG_PGSZ + TLB_BITS:64]
837 )
838 sync += itlb_ptes[wr_index].eq(m_in.pte)
839 sync += itlb_valid_bits[wr_index].eq(1)
840
841 # -- Cache hit detection, output to fetch2 and other misc logic
842 # icache_comb : process(all)
843 # Cache hit detection, output to fetch2 and other misc logic
844 def icache_comb(self, m, use_previous, r, req_index, req_row,
845 req_tag, real_addr, req_laddr, cache_valid_bits,
846 cache_tags, access_ok, req_is_hit,
847 req_is_miss, replace_way, plru_victim, cache_out_row):
848 # variable is_hit : std_ulogic;
849 # variable hit_way : way_t;
850 comb = m.d.comb
851
852 #comb += Display("ENTER icache_comb - use_previous:%x req_index:%x " \
853 # "req_row:%x req_tag:%x real_addr:%x req_laddr:%x " \
854 # "access_ok:%x req_is_hit:%x req_is_miss:%x " \
855 # "replace_way:%x", use_previous, req_index, req_row, \
856 # req_tag, real_addr, req_laddr, access_ok, \
857 # req_is_hit, req_is_miss, replace_way)
858
859 i_in, i_out, wb_out = self.i_in, self.i_out, self.wb_out
860 flush_in, stall_out = self.flush_in, self.stall_out
861
862 is_hit = Signal()
863 hit_way = Signal(NUM_WAYS)
864 # begin
865 # -- i_in.sequential means that i_in.nia this cycle
866 # -- is 4 more than last cycle. If we read more
867 # -- than 32 bits at a time, had a cache hit last
868 # -- cycle, and we don't want the first 32-bit chunk
869 # -- then we can keep the data we read last cycle
870 # -- and just use that.
871 # if unsigned(i_in.nia(INSN_BITS+2-1 downto 2)) /= 0 then
872 # use_previous <= i_in.sequential and r.hit_valid;
873 # else
874 # use_previous <= '0';
875 # end if;
876 # i_in.sequential means that i_in.nia this cycle is 4 more than
877 # last cycle. If we read more than 32 bits at a time, had a
878 # cache hit last cycle, and we don't want the first 32-bit chunk
879 # then we can keep the data we read last cycle and just use that.
880 with m.If(i_in.nia[2:INSN_BITS+2] != 0):
881 comb += use_previous.eq(i_in.sequential & r.hit_valid)
882
883 # -- Extract line, row and tag from request
884 # req_index <= get_index(i_in.nia);
885 # req_row <= get_row(i_in.nia);
886 # req_tag <= get_tag(real_addr);
887 # Extract line, row and tag from request
888 comb += req_index.eq(get_index(i_in.nia))
889 comb += req_row.eq(get_row(i_in.nia))
890 comb += req_tag.eq(get_tag(real_addr))
891
892 # -- Calculate address of beginning of cache row, will be
893 # -- used for cache miss processing if needed
894 # req_laddr <=
895 # (63 downto REAL_ADDR_BITS => '0') &
896 # real_addr(REAL_ADDR_BITS - 1 downto ROW_OFF_BITS) &
897 # (ROW_OFF_BITS-1 downto 0 => '0');
898 # Calculate address of beginning of cache row, will be
899 # used for cache miss processing if needed
900 comb += req_laddr.eq(Cat(
901 Const(0b0, ROW_OFF_BITS),
902 real_addr[ROW_OFF_BITS:REAL_ADDR_BITS],
903 Const(0b0, 8)
904 ))
905
906 # -- Test if pending request is a hit on any way
907 # hit_way := 0;
908 # is_hit := '0';
909 # for i in way_t loop
910 # if i_in.req = '1' and
911 # (cache_valids(req_index)(i) = '1' or
912 # (r.state = WAIT_ACK and
913 # req_index = r.store_index and
914 # i = r.store_way and
915 # r.rows_valid(req_row mod ROW_PER_LINE) = '1')) then
916 # if read_tag(i, cache_tags(req_index)) = req_tag then
917 # hit_way := i;
918 # is_hit := '1';
919 # end if;
920 # end if;
921 # end loop;
922 # Test if pending request is a hit on any way
923 for i in range(NUM_WAYS):
924 with m.If(i_in.req &
925 (cache_valid_bits[req_index][i] |
926 ((r.state == State.WAIT_ACK)
927 & (req_index == r.store_index)
928 & (i == r.store_way)
929 & r.rows_valid[req_row % ROW_PER_LINE]))):
930 with m.If(read_tag(i, cache_tags[req_index]) == req_tag):
931 comb += hit_way.eq(i)
932 comb += is_hit.eq(1)
933
934 # -- Generate the "hit" and "miss" signals
935 # -- for the synchronous blocks
936 # if i_in.req = '1' and access_ok = '1' and flush_in = '0'
937 # and rst = '0' then
938 # req_is_hit <= is_hit;
939 # req_is_miss <= not is_hit;
940 # else
941 # req_is_hit <= '0';
942 # req_is_miss <= '0';
943 # end if;
944 # req_hit_way <= hit_way;
945 # Generate the "hit" and "miss" signals
946 # for the synchronous blocks
947 with m.If(i_in.req & access_ok & ~flush_in):
948 comb += req_is_hit.eq(is_hit)
949 comb += req_is_miss.eq(~is_hit)
950
951 with m.Else():
952 comb += req_is_hit.eq(0)
953 comb += req_is_miss.eq(0)
954
955 # -- The way to replace on a miss
956 # if r.state = CLR_TAG then
957 # replace_way <=
958 # to_integer(unsigned(plru_victim(r.store_index)));
959 # else
960 # replace_way <= r.store_way;
961 # end if;
962 # The way to replace on a miss
963 with m.If(r.state == State.CLR_TAG):
964 comb += replace_way.eq(plru_victim[r.store_index])
965
966 with m.Else():
967 comb += replace_way.eq(r.store_way)
968
969 # -- Output instruction from current cache row
970 # --
971 # -- Note: This is a mild violation of our design principle of
972 # -- having pipeline stages output from a clean latch. In this
973 # -- case we output the result of a mux. The alternative would
974 # -- be output an entire row which I prefer not to do just yet
975 # -- as it would force fetch2 to know about some of the cache
976 # -- geometry information.
977 # i_out.insn <= read_insn_word(r.hit_nia, cache_out(r.hit_way));
978 # i_out.valid <= r.hit_valid;
979 # i_out.nia <= r.hit_nia;
980 # i_out.stop_mark <= r.hit_smark;
981 # i_out.fetch_failed <= r.fetch_failed;
982 # Output instruction from current cache row
983 #
984 # Note: This is a mild violation of our design principle of
985 # having pipeline stages output from a clean latch. In this
986 # case we output the result of a mux. The alternative would
987 # be output an entire row which I prefer not to do just yet
988 # as it would force fetch2 to know about some of the cache
989 # geometry information.
990 #comb += Display("BEFORE read_insn_word - r.hit_nia:%x " \
991 # "r.hit_way:%x, cache_out[r.hit_way]:%x", r.hit_nia, \
992 # r.hit_way, cache_out[r.hit_way])
993 comb += i_out.insn.eq(read_insn_word(r.hit_nia, cache_out_row))
994 comb += i_out.valid.eq(r.hit_valid)
995 comb += i_out.nia.eq(r.hit_nia)
996 comb += i_out.stop_mark.eq(r.hit_smark)
997 comb += i_out.fetch_failed.eq(r.fetch_failed)
998
999 # -- Stall fetch1 if we have a miss on cache or TLB
1000 # -- or a protection fault
1001 # stall_out <= not (is_hit and access_ok);
1002 # Stall fetch1 if we have a miss on cache or TLB
1003 # or a protection fault
1004 comb += stall_out.eq(~(is_hit & access_ok))
1005
1006 # -- Wishbone requests output (from the cache miss reload machine)
1007 # wishbone_out <= r.wb;
1008 # Wishbone requests output (from the cache miss reload machine)
1009 comb += wb_out.eq(r.wb)
1010 # end process;
1011
1012 # -- Cache hit synchronous machine
1013 # icache_hit : process(clk)
1014 # Cache hit synchronous machine
1015 def icache_hit(self, m, use_previous, r, req_is_hit, req_hit_way,
1016 req_index, req_tag, real_addr):
1017 sync = m.d.sync
1018
1019 i_in, stall_in = self.i_in, self.stall_in
1020 flush_in = self.flush_in
1021
1022 # begin
1023 # if rising_edge(clk) then
1024 # -- keep outputs to fetch2 unchanged on a stall
1025 # -- except that flush or reset sets valid to 0
1026 # -- If use_previous, keep the same data as last
1027 # -- cycle and use the second half
1028 # if stall_in = '1' or use_previous = '1' then
1029 # if rst = '1' or flush_in = '1' then
1030 # r.hit_valid <= '0';
1031 # end if;
1032 # keep outputs to fetch2 unchanged on a stall
1033 # except that flush or reset sets valid to 0
1034 # If use_previous, keep the same data as last
1035 # cycle and use the second half
1036 with m.If(stall_in | use_previous):
1037 with m.If(flush_in):
1038 sync += r.hit_valid.eq(0)
1039 # else
1040 # -- On a hit, latch the request for the next cycle,
1041 # -- when the BRAM data will be available on the
1042 # -- cache_out output of the corresponding way
1043 # r.hit_valid <= req_is_hit;
1044 # if req_is_hit = '1' then
1045 # r.hit_way <= req_hit_way;
1046 with m.Else():
1047 # On a hit, latch the request for the next cycle,
1048 # when the BRAM data will be available on the
1049 # cache_out output of the corresponding way
1050 sync += r.hit_valid.eq(req_is_hit)
1051
1052 with m.If(req_is_hit):
1053 sync += r.hit_way.eq(req_hit_way)
1054
1055 # report "cache hit nia:" & to_hstring(i_in.nia) &
1056 # " IR:" & std_ulogic'image(i_in.virt_mode) &
1057 # " SM:" & std_ulogic'image(i_in.stop_mark) &
1058 # " idx:" & integer'image(req_index) &
1059 # " tag:" & to_hstring(req_tag) &
1060 # " way:" & integer'image(req_hit_way) &
1061 # " RA:" & to_hstring(real_addr);
1062 sync += Display("cache hit nia:%x IR:%x SM:%x idx:%x " \
1063 "tag:%x way:%x RA:%x", i_in.nia, \
1064 i_in.virt_mode, i_in.stop_mark, req_index, \
1065 req_tag, req_hit_way, real_addr)
1066
1067
1068
1069 # end if;
1070 # end if;
1071 # if stall_in = '0' then
1072 # -- Send stop marks and NIA down regardless of validity
1073 # r.hit_smark <= i_in.stop_mark;
1074 # r.hit_nia <= i_in.nia;
1075 # end if;
1076 with m.If(~stall_in):
1077 # Send stop marks and NIA down regardless of validity
1078 sync += r.hit_smark.eq(i_in.stop_mark)
1079 sync += r.hit_nia.eq(i_in.nia)
1080 # end if;
1081 # end process;
1082
1083 # -- Cache miss/reload synchronous machine
1084 # icache_miss : process(clk)
1085 # Cache miss/reload synchronous machine
1086 def icache_miss(self, m, cache_valid_bits, r, req_is_miss,
1087 req_index, req_laddr, req_tag, replace_way,
1088 cache_tags, access_ok, real_addr):
1089 comb = m.d.comb
1090 sync = m.d.sync
1091
1092 i_in, wb_in, m_in = self.i_in, self.wb_in, self.m_in
1093 stall_in, flush_in = self.stall_in, self.flush_in
1094 inval_in = self.inval_in
1095
1096 # variable tagset : cache_tags_set_t;
1097 # variable stbs_done : boolean;
1098
1099 tagset = Signal(TAG_RAM_WIDTH)
1100 stbs_done = Signal()
1101
1102 # begin
1103 # if rising_edge(clk) then
1104 # -- On reset, clear all valid bits to force misses
1105 # if rst = '1' then
1106 # On reset, clear all valid bits to force misses
1107 # for i in index_t loop
1108 # cache_valids(i) <= (others => '0');
1109 # end loop;
1110 # r.state <= IDLE;
1111 # r.wb.cyc <= '0';
1112 # r.wb.stb <= '0';
1113 # -- We only ever do reads on wishbone
1114 # r.wb.dat <= (others => '0');
1115 # r.wb.sel <= "11111111";
1116 # r.wb.we <= '0';
1117
1118 # -- Not useful normally but helps avoiding
1119 # -- tons of sim warnings
1120 # r.wb.adr <= (others => '0');
1121
1122 # else
1123
1124 # -- Process cache invalidations
1125 # if inval_in = '1' then
1126 # for i in index_t loop
1127 # cache_valids(i) <= (others => '0');
1128 # end loop;
1129 # r.store_valid <= '0';
1130 # end if;
1131 comb += r.wb.sel.eq(-1)
1132 comb += r.wb.adr.eq(r.req_adr[3:])
1133
1134 # Process cache invalidations
1135 with m.If(inval_in):
1136 for i in range(NUM_LINES):
1137 sync += cache_valid_bits[i].eq(0)
1138 sync += r.store_valid.eq(0)
1139
1140 # -- Main state machine
1141 # case r.state is
1142 # Main state machine
1143 with m.Switch(r.state):
1144
1145 # when IDLE =>
1146 with m.Case(State.IDLE):
1147 # -- Reset per-row valid flags,
1148 # -- only used in WAIT_ACK
1149 # for i in 0 to ROW_PER_LINE - 1 loop
1150 # r.rows_valid(i) <= '0';
1151 # end loop;
1152 # Reset per-row valid flags,
1153 # only used in WAIT_ACK
1154 for i in range(ROW_PER_LINE):
1155 sync += r.rows_valid[i].eq(0)
1156
1157 # -- We need to read a cache line
1158 # if req_is_miss = '1' then
1159 # report "cache miss nia:" & to_hstring(i_in.nia) &
1160 # " IR:" & std_ulogic'image(i_in.virt_mode) &
1161 # " SM:" & std_ulogic'image(i_in.stop_mark) &
1162 # " idx:" & integer'image(req_index) &
1163 # " way:" & integer'image(replace_way) &
1164 # " tag:" & to_hstring(req_tag) &
1165 # " RA:" & to_hstring(real_addr);
1166 # We need to read a cache line
1167 with m.If(req_is_miss):
1168 sync += Display(
1169 "cache miss nia:%x IR:%x SM:%x idx:%x " \
1170 " way:%x tag:%x RA:%x", i_in.nia, \
1171 i_in.virt_mode, i_in.stop_mark, req_index, \
1172 replace_way, req_tag, real_addr)
1173
1174 # -- Keep track of our index and way for
1175 # -- subsequent stores
1176 # r.store_index <= req_index;
1177 # r.store_row <= get_row(req_laddr);
1178 # r.store_tag <= req_tag;
1179 # r.store_valid <= '1';
1180 # r.end_row_ix <=
1181 # get_row_of_line(get_row(req_laddr)) - 1;
1182 # Keep track of our index and way
1183 # for subsequent stores
1184 sync += r.store_index.eq(req_index)
1185 sync += r.store_row.eq(get_row(req_laddr))
1186 sync += r.store_tag.eq(req_tag)
1187 sync += r.store_valid.eq(1)
1188 sync += r.end_row_ix.eq(
1189 get_row_of_line(
1190 get_row(req_laddr)
1191 ) - 1
1192 )
1193
1194 # -- Prep for first wishbone read. We calculate the
1195 # -- address of the start of the cache line and
1196 # -- start the WB cycle.
1197 # r.wb.adr <= req_laddr(r.wb.adr'left downto 0);
1198 # r.wb.cyc <= '1';
1199 # r.wb.stb <= '1';
1200 # Prep for first wishbone read.
1201 # We calculate the
1202 # address of the start of the cache line and
1203 # start the WB cycle.
1204 sync += r.req_adr.eq(req_laddr)
1205 sync += r.wb.cyc.eq(1)
1206 sync += r.wb.stb.eq(1)
1207
1208 # -- Track that we had one request sent
1209 # r.state <= CLR_TAG;
1210 # Track that we had one request sent
1211 sync += r.state.eq(State.CLR_TAG)
1212 # end if;
1213
1214 # when CLR_TAG | WAIT_ACK =>
1215 with m.Case(State.CLR_TAG, State.WAIT_ACK):
1216 # if r.state = CLR_TAG then
1217 with m.If(r.state == State.CLR_TAG):
1218 # -- Get victim way from plru
1219 # r.store_way <= replace_way;
1220 # Get victim way from plru
1221 sync += r.store_way.eq(replace_way)
1222 #
1223 # -- Force misses on that way while
1224 # -- reloading that line
1225 # cache_valids(req_index)(replace_way) <= '0';
1226 # Force misses on that way while
1227 # realoading that line
1228 cv = Signal(INDEX_BITS)
1229 comb += cv.eq(cache_valid_bits[req_index])
1230 comb += cv.bit_select(replace_way, 1).eq(0)
1231 sync += cache_valid_bits[req_index].eq(cv)
1232
1233 # -- Store new tag in selected way
1234 # for i in 0 to NUM_WAYS-1 loop
1235 # if i = replace_way then
1236 # tagset := cache_tags(r.store_index);
1237 # write_tag(i, tagset, r.store_tag);
1238 # cache_tags(r.store_index) <= tagset;
1239 # end if;
1240 # end loop;
1241 for i in range(NUM_WAYS):
1242 with m.If(i == replace_way):
1243 comb += tagset.eq(cache_tags[r.store_index])
1244 comb += write_tag(i, tagset, r.store_tag)
1245 sync += cache_tags[r.store_index].eq(tagset)
1246
1247 # r.state <= WAIT_ACK;
1248 sync += r.state.eq(State.WAIT_ACK)
1249 # end if;
1250
1251 # -- Requests are all sent if stb is 0
1252 # stbs_done := r.wb.stb = '0';
1253 # Requests are all sent if stb is 0
1254 stbs_zero = Signal()
1255 comb += stbs_zero.eq(r.wb.stb == 0)
1256 comb += stbs_done.eq(stbs_zero)
1257
1258 # -- If we are still sending requests,
1259 # -- was one accepted ?
1260 # if wishbone_in.stall = '0' and not stbs_done then
1261 # If we are still sending requests,
1262 # was one accepted?
1263 with m.If(~wb_in.stall & ~stbs_zero):
1264 # -- That was the last word ? We are done sending.
1265 # -- Clear stb and set stbs_done so we can handle
1266 # -- an eventual last ack on the same cycle.
1267 # if is_last_row_addr(r.wb.adr, r.end_row_ix) then
1268 # r.wb.stb <= '0';
1269 # stbs_done := true;
1270 # end if;
1271 # That was the last word ?
1272 # We are done sending.
1273 # Clear stb and set stbs_done
1274 # so we can handle
1275 # an eventual last ack on
1276 # the same cycle.
1277 with m.If(is_last_row_addr(r.req_adr, r.end_row_ix)):
1278 sync += Display("IS_LAST_ROW_ADDR " \
1279 "r.wb.addr:%x r.end_row_ix:%x " \
1280 "r.wb.stb:%x stbs_zero:%x " \
1281 "stbs_done:%x", r.wb.adr, \
1282 r.end_row_ix, r.wb.stb, \
1283 stbs_zero, stbs_done)
1284 sync += r.wb.stb.eq(0)
1285 comb += stbs_done.eq(1)
1286
1287 # -- Calculate the next row address
1288 # r.wb.adr <= next_row_addr(r.wb.adr);
1289 # Calculate the next row address
1290 rarange = Signal(LINE_OFF_BITS - ROW_OFF_BITS)
1291 comb += rarange.eq(
1292 r.req_adr[ROW_OFF_BITS:LINE_OFF_BITS] + 1
1293 )
1294 sync += r.req_adr[ROW_OFF_BITS:LINE_OFF_BITS].eq(
1295 rarange
1296 )
1297 sync += Display("RARANGE r.wb.adr:%x stbs_zero:%x " \
1298 "stbs_done:%x", rarange, stbs_zero, \
1299 stbs_done)
1300 # end if;
1301
1302 # -- Incoming acks processing
1303 # if wishbone_in.ack = '1' then
1304 # Incoming acks processing
1305 with m.If(wb_in.ack):
1306 # r.rows_valid(r.store_row mod ROW_PER_LINE)
1307 # <= '1';
1308 sync += Display("WB_IN_ACK stbs_zero:%x " \
1309 "stbs_done:%x", \
1310 stbs_zero, stbs_done)
1311
1312 sync += r.rows_valid[r.store_row % ROW_PER_LINE].eq(1)
1313
1314 # -- Check for completion
1315 # if stbs_done and
1316 # is_last_row(r.store_row, r.end_row_ix) then
1317 # Check for completion
1318 with m.If(stbs_done &
1319 is_last_row(r.store_row, r.end_row_ix)):
1320 # -- Complete wishbone cycle
1321 # r.wb.cyc <= '0';
1322 # Complete wishbone cycle
1323 sync += r.wb.cyc.eq(0)
1324
1325 # -- Cache line is now valid
1326 # cache_valids(r.store_index)(replace_way) <=
1327 # r.store_valid and not inval_in;
1328 # Cache line is now valid
1329 cv = Signal(INDEX_BITS)
1330 comb += cv.eq(cache_valid_bits[r.store_index])
1331 comb += cv.bit_select(replace_way, 1).eq(
1332 r.store_valid & ~inval_in
1333 )
1334 sync += cache_valid_bits[r.store_index].eq(cv)
1335
1336 # -- We are done
1337 # r.state <= IDLE;
1338 # We are done
1339 sync += r.state.eq(State.IDLE)
1340 # end if;
1341
1342 # -- Increment store row counter
1343 # r.store_row <= next_row(r.store_row);
1344 # Increment store row counter
1345 sync += r.store_row.eq(next_row(r.store_row))
1346 # end if;
1347 # end case;
1348 # end if;
1349 #
1350 # -- TLB miss and protection fault processing
1351 # if rst = '1' or flush_in = '1' or m_in.tlbld = '1' then
1352 # r.fetch_failed <= '0';
1353 # elsif i_in.req = '1' and access_ok = '0' and
1354 # stall_in = '0' then
1355 # r.fetch_failed <= '1';
1356 # end if;
1357 # TLB miss and protection fault processing
1358 with m.If(flush_in | m_in.tlbld):
1359 sync += r.fetch_failed.eq(0)
1360
1361 with m.Elif(i_in.req & ~access_ok & ~stall_in):
1362 sync += r.fetch_failed.eq(1)
1363 # end if;
1364 # end process;
1365
1366 # icache_log: if LOG_LENGTH > 0 generate
1367 def icache_log(self, m, req_hit_way, ra_valid, access_ok,
1368 req_is_miss, req_is_hit, lway, wstate, r):
1369 comb = m.d.comb
1370 sync = m.d.sync
1371
1372 wb_in, i_out = self.wb_in, self.i_out
1373 log_out, stall_out = self.log_out, self.stall_out
1374
1375 # -- Output data to logger
1376 # signal log_data : std_ulogic_vector(53 downto 0);
1377 # begin
1378 # data_log: process(clk)
1379 # variable lway: way_t;
1380 # variable wstate: std_ulogic;
1381 # Output data to logger
1382 for i in range(LOG_LENGTH):
1383 # Output data to logger
1384 log_data = Signal(54)
1385 lway = Signal(NUM_WAYS)
1386 wstate = Signal()
1387
1388 # begin
1389 # if rising_edge(clk) then
1390 # lway := req_hit_way;
1391 # wstate := '0';
1392 sync += lway.eq(req_hit_way)
1393 sync += wstate.eq(0)
1394
1395 # if r.state /= IDLE then
1396 # wstate := '1';
1397 # end if;
1398 with m.If(r.state != State.IDLE):
1399 sync += wstate.eq(1)
1400
1401 # log_data <= i_out.valid &
1402 # i_out.insn &
1403 # wishbone_in.ack &
1404 # r.wb.adr(5 downto 3) &
1405 # r.wb.stb & r.wb.cyc &
1406 # wishbone_in.stall &
1407 # stall_out &
1408 # r.fetch_failed &
1409 # r.hit_nia(5 downto 2) &
1410 # wstate &
1411 # std_ulogic_vector(to_unsigned(lway, 3)) &
1412 # req_is_hit & req_is_miss &
1413 # access_ok &
1414 # ra_valid;
1415 sync += log_data.eq(Cat(
1416 ra_valid, access_ok, req_is_miss, req_is_hit,
1417 lway, wstate, r.hit_nia[2:6],
1418 r.fetch_failed, stall_out, wb_in.stall, r.wb.cyc,
1419 r.wb.stb, r.wb.adr[3:6], wb_in.ack, i_out.insn,
1420 i_out.valid
1421 ))
1422 # end if;
1423 # end process;
1424 # log_out <= log_data;
1425 comb += log_out.eq(log_data)
1426 # end generate;
1427 # end;
1428
1429 def elaborate(self, platform):
1430
1431 m = Module()
1432 comb = m.d.comb
1433
1434 # Storage. Hopefully "cache_rows" is a BRAM, the rest is LUTs
1435 cache_tags = CacheTagArray()
1436 cache_valid_bits = CacheValidBitsArray()
1437
1438 # signal itlb_valids : tlb_valids_t;
1439 # signal itlb_tags : tlb_tags_t;
1440 # signal itlb_ptes : tlb_ptes_t;
1441 # attribute ram_style of itlb_tags : signal is "distributed";
1442 # attribute ram_style of itlb_ptes : signal is "distributed";
1443 itlb_valid_bits = TLBValidBitsArray()
1444 itlb_tags = TLBTagArray()
1445 itlb_ptes = TLBPtesArray()
1446 # TODO to be passed to nmigen as ram attributes
1447 # attribute ram_style of itlb_tags : signal is "distributed";
1448 # attribute ram_style of itlb_ptes : signal is "distributed";
1449
1450 # -- Privilege bit from PTE EAA field
1451 # signal eaa_priv : std_ulogic;
1452 # Privilege bit from PTE EAA field
1453 eaa_priv = Signal()
1454
1455 # signal r : reg_internal_t;
1456 r = RegInternal()
1457
1458 # -- Async signals on incoming request
1459 # signal req_index : index_t;
1460 # signal req_row : row_t;
1461 # signal req_hit_way : way_t;
1462 # signal req_tag : cache_tag_t;
1463 # signal req_is_hit : std_ulogic;
1464 # signal req_is_miss : std_ulogic;
1465 # signal req_laddr : std_ulogic_vector(63 downto 0);
1466 # Async signal on incoming request
1467 req_index = Signal(NUM_LINES)
1468 req_row = Signal(BRAM_ROWS)
1469 req_hit_way = Signal(NUM_WAYS)
1470 req_tag = Signal(TAG_BITS)
1471 req_is_hit = Signal()
1472 req_is_miss = Signal()
1473 req_laddr = Signal(64)
1474
1475 # signal tlb_req_index : tlb_index_t;
1476 # signal real_addr : std_ulogic_vector(
1477 # REAL_ADDR_BITS - 1 downto 0
1478 # );
1479 # signal ra_valid : std_ulogic;
1480 # signal priv_fault : std_ulogic;
1481 # signal access_ok : std_ulogic;
1482 # signal use_previous : std_ulogic;
1483 tlb_req_index = Signal(TLB_SIZE)
1484 real_addr = Signal(REAL_ADDR_BITS)
1485 ra_valid = Signal()
1486 priv_fault = Signal()
1487 access_ok = Signal()
1488 use_previous = Signal()
1489
1490 # signal cache_out : cache_ram_out_t;
1491 cache_out_row = Signal(ROW_SIZE_BITS)
1492
1493 # signal plru_victim : plru_out_t;
1494 # signal replace_way : way_t;
1495 plru_victim = PLRUOut()
1496 replace_way = Signal(NUM_WAYS)
1497
1498 # call sub-functions putting everything together, using shared
1499 # signals established above
1500 self.rams(m, r, cache_out_row, use_previous, replace_way, req_row)
1501 self.maybe_plrus(m, r, plru_victim)
1502 self.itlb_lookup(m, tlb_req_index, itlb_ptes, itlb_tags,
1503 real_addr, itlb_valid_bits, ra_valid, eaa_priv,
1504 priv_fault, access_ok)
1505 self.itlb_update(m, itlb_valid_bits, itlb_tags, itlb_ptes)
1506 self.icache_comb(m, use_previous, r, req_index, req_row,
1507 req_tag, real_addr, req_laddr, cache_valid_bits,
1508 cache_tags, access_ok, req_is_hit, req_is_miss,
1509 replace_way, plru_victim, cache_out_row)
1510 self.icache_hit(m, use_previous, r, req_is_hit, req_hit_way,
1511 req_index, req_tag, real_addr)
1512 self.icache_miss(m, cache_valid_bits, r, req_is_miss, req_index,
1513 req_laddr, req_tag, replace_way, cache_tags,
1514 access_ok, real_addr)
1515 #self.icache_log(m, log_out, req_hit_way, ra_valid, access_ok,
1516 # req_is_miss, req_is_hit, lway, wstate, r)
1517
1518 return m
1519
1520
1521 # icache_tb.vhdl
1522 #
1523 # library ieee;
1524 # use ieee.std_logic_1164.all;
1525 #
1526 # library work;
1527 # use work.common.all;
1528 # use work.wishbone_types.all;
1529 #
1530 # entity icache_tb is
1531 # end icache_tb;
1532 #
1533 # architecture behave of icache_tb is
1534 # signal clk : std_ulogic;
1535 # signal rst : std_ulogic;
1536 #
1537 # signal i_out : Fetch1ToIcacheType;
1538 # signal i_in : IcacheToDecode1Type;
1539 #
1540 # signal m_out : MmuToIcacheType;
1541 #
1542 # signal wb_bram_in : wishbone_master_out;
1543 # signal wb_bram_out : wishbone_slave_out;
1544 #
1545 # constant clk_period : time := 10 ns;
1546 # begin
1547 # icache0: entity work.icache
1548 # generic map(
1549 # LINE_SIZE => 64,
1550 # NUM_LINES => 4
1551 # )
1552 # port map(
1553 # clk => clk,
1554 # rst => rst,
1555 # i_in => i_out,
1556 # i_out => i_in,
1557 # m_in => m_out,
1558 # stall_in => '0',
1559 # flush_in => '0',
1560 # inval_in => '0',
1561 # wishbone_out => wb_bram_in,
1562 # wishbone_in => wb_bram_out
1563 # );
1564 #
1565 # -- BRAM Memory slave
1566 # bram0: entity work.wishbone_bram_wrapper
1567 # generic map(
1568 # MEMORY_SIZE => 1024,
1569 # RAM_INIT_FILE => "icache_test.bin"
1570 # )
1571 # port map(
1572 # clk => clk,
1573 # rst => rst,
1574 # wishbone_in => wb_bram_in,
1575 # wishbone_out => wb_bram_out
1576 # );
1577 #
1578 # clk_process: process
1579 # begin
1580 # clk <= '0';
1581 # wait for clk_period/2;
1582 # clk <= '1';
1583 # wait for clk_period/2;
1584 # end process;
1585 #
1586 # rst_process: process
1587 # begin
1588 # rst <= '1';
1589 # wait for 2*clk_period;
1590 # rst <= '0';
1591 # wait;
1592 # end process;
1593 #
1594 # stim: process
1595 # begin
1596 # i_out.req <= '0';
1597 # i_out.nia <= (others => '0');
1598 # i_out.stop_mark <= '0';
1599 #
1600 # m_out.tlbld <= '0';
1601 # m_out.tlbie <= '0';
1602 # m_out.addr <= (others => '0');
1603 # m_out.pte <= (others => '0');
1604 #
1605 # wait until rising_edge(clk);
1606 # wait until rising_edge(clk);
1607 # wait until rising_edge(clk);
1608 # wait until rising_edge(clk);
1609 #
1610 # i_out.req <= '1';
1611 # i_out.nia <= x"0000000000000004";
1612 #
1613 # wait for 30*clk_period;
1614 # wait until rising_edge(clk);
1615 #
1616 # assert i_in.valid = '1' severity failure;
1617 # assert i_in.insn = x"00000001"
1618 # report "insn @" & to_hstring(i_out.nia) &
1619 # "=" & to_hstring(i_in.insn) &
1620 # " expected 00000001"
1621 # severity failure;
1622 #
1623 # i_out.req <= '0';
1624 #
1625 # wait until rising_edge(clk);
1626 #
1627 # -- hit
1628 # i_out.req <= '1';
1629 # i_out.nia <= x"0000000000000008";
1630 # wait until rising_edge(clk);
1631 # wait until rising_edge(clk);
1632 # assert i_in.valid = '1' severity failure;
1633 # assert i_in.insn = x"00000002"
1634 # report "insn @" & to_hstring(i_out.nia) &
1635 # "=" & to_hstring(i_in.insn) &
1636 # " expected 00000002"
1637 # severity failure;
1638 # wait until rising_edge(clk);
1639 #
1640 # -- another miss
1641 # i_out.req <= '1';
1642 # i_out.nia <= x"0000000000000040";
1643 #
1644 # wait for 30*clk_period;
1645 # wait until rising_edge(clk);
1646 #
1647 # assert i_in.valid = '1' severity failure;
1648 # assert i_in.insn = x"00000010"
1649 # report "insn @" & to_hstring(i_out.nia) &
1650 # "=" & to_hstring(i_in.insn) &
1651 # " expected 00000010"
1652 # severity failure;
1653 #
1654 # -- test something that aliases
1655 # i_out.req <= '1';
1656 # i_out.nia <= x"0000000000000100";
1657 # wait until rising_edge(clk);
1658 # wait until rising_edge(clk);
1659 # assert i_in.valid = '0' severity failure;
1660 # wait until rising_edge(clk);
1661 #
1662 # wait for 30*clk_period;
1663 # wait until rising_edge(clk);
1664 #
1665 # assert i_in.valid = '1' severity failure;
1666 # assert i_in.insn = x"00000040"
1667 # report "insn @" & to_hstring(i_out.nia) &
1668 # "=" & to_hstring(i_in.insn) &
1669 # " expected 00000040"
1670 # severity failure;
1671 #
1672 # i_out.req <= '0';
1673 #
1674 # std.env.finish;
1675 # end process;
1676 # end;
1677 def icache_sim(dut):
1678 i_out = dut.i_in
1679 i_in = dut.i_out
1680 m_out = dut.m_in
1681
1682 yield i_in.valid.eq(0)
1683 yield i_out.priv_mode.eq(1)
1684 yield i_out.req.eq(0)
1685 yield i_out.nia.eq(0)
1686 yield i_out.stop_mark.eq(0)
1687 yield m_out.tlbld.eq(0)
1688 yield m_out.tlbie.eq(0)
1689 yield m_out.addr.eq(0)
1690 yield m_out.pte.eq(0)
1691 yield
1692 yield
1693 yield
1694 yield
1695 yield i_out.req.eq(1)
1696 yield i_out.nia.eq(Const(0x0000000000000004, 64))
1697 for i in range(30):
1698 yield
1699 yield
1700 valid = yield i_in.valid
1701 nia = yield i_out.nia
1702 insn = yield i_in.insn
1703 print(f"valid? {valid}")
1704 assert valid
1705 assert insn == 0x00000001, \
1706 "insn @%x=%x expected 00000001" % (nia, insn)
1707 yield i_out.req.eq(0)
1708 yield
1709
1710 # hit
1711 yield i_out.req.eq(1)
1712 yield i_out.nia.eq(Const(0x0000000000000008, 64))
1713 yield
1714 yield
1715 valid = yield i_in.valid
1716 nia = yield i_in.nia
1717 insn = yield i_in.insn
1718 assert valid
1719 assert insn == 0x00000002, \
1720 "insn @%x=%x expected 00000002" % (nia, insn)
1721 yield
1722
1723 # another miss
1724 yield i_out.req.eq(1)
1725 yield i_out.nia.eq(Const(0x0000000000000040, 64))
1726 for i in range(30):
1727 yield
1728 yield
1729 valid = yield i_in.valid
1730 nia = yield i_out.nia
1731 insn = yield i_in.insn
1732 assert valid
1733 assert insn == 0x00000010, \
1734 "insn @%x=%x expected 00000010" % (nia, insn)
1735
1736 # test something that aliases
1737 yield i_out.req.eq(1)
1738 yield i_out.nia.eq(Const(0x0000000000000100, 64))
1739 yield
1740 yield
1741 valid = yield i_in.valid
1742 assert ~valid
1743 for i in range(30):
1744 yield
1745 yield
1746 insn = yield i_in.insn
1747 valid = yield i_in.valid
1748 insn = yield i_in.insn
1749 assert valid
1750 assert insn == 0x00000040, \
1751 "insn @%x=%x expected 00000040" % (nia, insn)
1752 yield i_out.req.eq(0)
1753
1754
1755
1756 def test_icache(mem):
1757 dut = ICache()
1758
1759 memory = Memory(width=64, depth=16*64, init=mem)
1760 sram = SRAM(memory=memory, granularity=8)
1761
1762 m = Module()
1763
1764 m.submodules.icache = dut
1765 m.submodules.sram = sram
1766
1767 m.d.comb += sram.bus.cyc.eq(dut.wb_out.cyc)
1768 m.d.comb += sram.bus.stb.eq(dut.wb_out.stb)
1769 m.d.comb += sram.bus.we.eq(dut.wb_out.we)
1770 m.d.comb += sram.bus.sel.eq(dut.wb_out.sel)
1771 m.d.comb += sram.bus.adr.eq(dut.wb_out.adr)
1772 m.d.comb += sram.bus.dat_w.eq(dut.wb_out.dat)
1773
1774 m.d.comb += dut.wb_in.ack.eq(sram.bus.ack)
1775 m.d.comb += dut.wb_in.dat.eq(sram.bus.dat_r)
1776
1777 # nmigen Simulation
1778 sim = Simulator(m)
1779 sim.add_clock(1e-6)
1780
1781 sim.add_sync_process(wrap(icache_sim(dut)))
1782 with sim.write_vcd('test_icache.vcd'):
1783 sim.run()
1784
1785 if __name__ == '__main__':
1786 dut = ICache()
1787 vl = rtlil.convert(dut, ports=[])
1788 with open("test_icache.il", "w") as f:
1789 f.write(vl)
1790
1791 mem = []
1792 for i in range(512):
1793 mem.append((i*2)| ((i*2+1)<<32))
1794
1795 test_icache(mem)
1796