Merge branch 'master' of git.libre-soc.org:soc
[soc.git] / src / soc / experiment / plru.py
1 # based on microwatt plru.vhdl
2
3 from nmigen import Elaboratable, Signal, Array, Module
4
5 class PLRU(Elaboratable):
6
7 def __init__(self, BITS=2):
8 self.BITS = BITS
9 self.acc = Signal(BITS)
10 self.acc_en = Signal()
11 self.lru_o = Signal(BITS)
12
13 def elaborate(self, platform):
14 m = Module()
15 comb, sync = m.d.comb, m.d.sync
16
17 tree = Array(Signal() for i in range(self.BITS))
18
19 # XXX Check if we can turn that into a little ROM instead that
20 # takes the tree bit vector and returns the LRU. See if it's better
21 # in term of FPGA resouces usage...
22 node = Signal(self.BITS)
23 for i in range(self.BITS):
24 node_next = Signal(self.BITS)
25 node2 = Signal(self.BITS)
26 # report "GET: i:" & integer'image(i) & " node:" &
27 # integer'image(node) & " val:" & Signal()'image(tree(node))
28 comb += self.lru_o[self.BITS-1-i].eq(tree[node])
29 if i != self.BITS-1:
30 comb += node2.eq(node << 1)
31 else:
32 comb += node2.eq(node)
33 with m.If(tree[node]):
34 comb += node_next.eq(node2 + 2)
35 with m.Else():
36 comb += node_next.eq(node2 + 1)
37 node = node_next
38
39 with m.If(self.acc_en):
40 node = Signal(self.BITS)
41 for i in range(self.BITS):
42 node_next = Signal(self.BITS)
43 node2 = Signal(self.BITS)
44 # report "GET: i:" & integer'image(i) & " node:" &
45 # integer'image(node) & " val:" & Signal()'image(tree(node))
46 abit = self.acc[self.BITS-1-i]
47 sync += tree[node].eq(~abit)
48 if i != self.BITS-1:
49 comb += node2.eq(node << 1)
50 else:
51 comb += node2.eq(node)
52 with m.If(abit):
53 comb += node_next.eq(node2 + 2)
54 with m.Else():
55 comb += node_next.eq(node2 + 1)
56 node = node_next
57
58 return m