Fix some typos and whitespace
[soc.git] / src / soc / experiment / test / test_compalu_multi.py
1 """Computation Unit (aka "ALU Manager").
2
3 Manages a Pipeline or FSM, ensuring that the start and end time are 100%
4 monitored. At no time may the ALU proceed without this module notifying
5 the Dependency Matrices. At no time is a result production "abandoned".
6 This module blocks (indicates busy) starting from when it first receives
7 an opcode until it receives notification that
8 its result(s) have been successfully stored in the regfile(s)
9
10 Documented at http://libre-soc.org/3d_gpu/architecture/compunit
11 """
12
13 from soc.experiment.alu_fsm import Shifter, CompFSMOpSubset
14 from soc.fu.alu.alu_input_record import CompALUOpSubset
15 from soc.fu.cr.cr_input_record import CompCROpSubset
16 from soc.experiment.alu_hier import ALU, DummyALU
17 from soc.experiment.compalu_multi import MultiCompUnit
18 from soc.decoder.power_enums import MicrOp
19 from nmutil.gtkw import write_gtkw
20 from nmigen import Module, Signal
21 from nmigen.cli import rtlil
22
23 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
24 # Also, check out the cxxsim nmigen branch, and latest yosys from git
25 from nmutil.sim_tmp_alternative import (Simulator, Settle, is_engine_pysim,
26 Passive)
27
28
29 def wrap(process):
30 def wrapper():
31 yield from process
32 return wrapper
33
34
35 class OperandProducer:
36 """
37 Produces an operand when requested by the Computation Unit
38 (`dut` parameter), using the `rel_o` / `go_i` handshake.
39
40 Attaches itself to the `dut` operand indexed by `op_index`.
41
42 Has a programmable delay between the assertion of `rel_o` and the
43 `go_i` pulse.
44
45 Data is presented only during the cycle in which `go_i` is active.
46
47 It adds itself as a passive process to the simulation (`sim` parameter).
48 Since it is passive, it will not hang the simulation, and does not need a
49 flag to terminate itself.
50 """
51 def __init__(self, sim, dut, op_index):
52 self.count = Signal(8, name=f"src{op_index + 1}_count")
53 """ transaction counter"""
54 # data and handshake signals from the DUT
55 self.port = dut.src_i[op_index]
56 self.go_i = dut.rd.go_i[op_index]
57 self.rel_o = dut.rd.rel_o[op_index]
58 # transaction parameters, passed via signals
59 self.delay = Signal(8)
60 self.data = Signal.like(self.port)
61 # add ourselves to the simulation process list
62 sim.add_sync_process(self._process)
63
64 def _process(self):
65 yield Passive()
66 while True:
67 # Settle() is needed to give a quick response to
68 # the zero delay case
69 yield Settle()
70 # wait for rel_o to become active
71 while not (yield self.rel_o):
72 yield
73 yield Settle()
74 # read the transaction parameters
75 delay = (yield self.delay)
76 data = (yield self.data)
77 # wait for `delay` cycles
78 for _ in range(delay):
79 yield
80 # activate go_i and present data, for one cycle
81 yield self.go_i.eq(1)
82 yield self.port.eq(data)
83 yield self.count.eq(self.count + 1)
84 yield
85 yield self.go_i.eq(0)
86 yield self.port.eq(0)
87
88 def send(self, data, delay):
89 """
90 Schedules the module to send some `data`, counting `delay` cycles after
91 `rel_i` becomes active.
92
93 To be called from the main test-bench process,
94 it returns in the same cycle.
95
96 Communication with the worker process is done by means of
97 combinatorial simulation-only signals.
98
99 """
100 yield self.data.eq(data)
101 yield self.delay.eq(delay)
102
103
104 class ResultConsumer:
105 """
106 Consumes a result when requested by the Computation Unit
107 (`dut` parameter), using the `rel_o` / `go_i` handshake.
108
109 Attaches itself to the `dut` result indexed by `op_index`.
110
111 Has a programmable delay between the assertion of `rel_o` and the
112 `go_i` pulse.
113
114 Data is retrieved only during the cycle in which `go_i` is active.
115
116 It adds itself as a passive process to the simulation (`sim` parameter).
117 Since it is passive, it will not hang the simulation, and does not need a
118 flag to terminate itself.
119 """
120 def __init__(self, sim, dut, op_index):
121 self.count = Signal(8, name=f"dest{op_index + 1}_count")
122 """ transaction counter"""
123 # data and handshake signals from the DUT
124 self.port = dut.dest[op_index]
125 self.go_i = dut.wr.go_i[op_index]
126 self.rel_o = dut.wr.rel_o[op_index]
127 # transaction parameters, passed via signals
128 self.delay = Signal(8)
129 self.expected = Signal.like(self.port)
130 # add ourselves to the simulation process list
131 sim.add_sync_process(self._process)
132
133 def _process(self):
134 yield Passive()
135 while True:
136 # Settle() is needed to give a quick response to
137 # the zero delay case
138 yield Settle()
139 # wait for rel_o to become active
140 while not (yield self.rel_o):
141 yield
142 yield Settle()
143 # read the transaction parameters
144 delay = (yield self.delay)
145 expected = (yield self.expected)
146 # wait for `delay` cycles
147 for _ in range(delay):
148 yield
149 # activate go_i for one cycle
150 yield self.go_i.eq(1)
151 yield self.count.eq(self.count + 1)
152 yield
153 # check received data against the expected value
154 result = (yield self.port)
155 assert result == expected,\
156 f"expected {expected}, received {result}"
157 yield self.go_i.eq(0)
158 yield self.port.eq(0)
159
160 def receive(self, expected, delay):
161 """
162 Schedules the module to receive some result,
163 counting `delay` cycles after `rel_i` becomes active.
164 As 'go_i' goes active, check the result with `expected`.
165
166 To be called from the main test-bench process,
167 it returns in the same cycle.
168
169 Communication with the worker process is done by means of
170 combinatorial simulation-only signals.
171 """
172 yield self.expected.eq(expected)
173 yield self.delay.eq(delay)
174
175
176 def op_sim(dut, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0):
177 yield dut.issue_i.eq(0)
178 yield
179 yield dut.src_i[0].eq(a)
180 yield dut.src_i[1].eq(b)
181 yield dut.oper_i.insn_type.eq(op)
182 yield dut.oper_i.invert_in.eq(inv_a)
183 yield dut.oper_i.imm_data.data.eq(imm)
184 yield dut.oper_i.imm_data.ok.eq(imm_ok)
185 yield dut.oper_i.zero_a.eq(zero_a)
186 yield dut.issue_i.eq(1)
187 yield
188 yield dut.issue_i.eq(0)
189 yield
190 if not imm_ok or not zero_a:
191 yield dut.rd.go_i.eq(0b11)
192 while True:
193 yield
194 rd_rel_o = yield dut.rd.rel_o
195 print("rd_rel", rd_rel_o)
196 if rd_rel_o:
197 break
198 yield dut.rd.go_i.eq(0)
199 else:
200 print("no go rd")
201
202 if len(dut.src_i) == 3:
203 yield dut.rd.go_i.eq(0b100)
204 while True:
205 yield
206 rd_rel_o = yield dut.rd.rel_o
207 print("rd_rel", rd_rel_o)
208 if rd_rel_o:
209 break
210 yield dut.rd.go_i.eq(0)
211 else:
212 print("no 3rd rd")
213
214 req_rel_o = yield dut.wr.rel_o
215 result = yield dut.data_o
216 print("req_rel", req_rel_o, result)
217 while True:
218 req_rel_o = yield dut.wr.rel_o
219 result = yield dut.data_o
220 print("req_rel", req_rel_o, result)
221 if req_rel_o:
222 break
223 yield
224 yield dut.wr.go_i[0].eq(1)
225 yield Settle()
226 result = yield dut.data_o
227 yield
228 print("result", result)
229 yield dut.wr.go_i[0].eq(0)
230 yield
231 return result
232
233
234 def scoreboard_sim_fsm(dut, producers, consumers):
235
236 # stores the operation count
237 op_count = 0
238
239 def op_sim_fsm(a, b, direction, expected, delays):
240 print("op_sim_fsm", a, b, direction, expected)
241 yield dut.issue_i.eq(0)
242 yield
243 # forward data and delays to the producers and consumers
244 yield from producers[0].send(a, delays[0])
245 yield from producers[1].send(b, delays[1])
246 yield from consumers[0].receive(expected, delays[2])
247 # submit operation, and assert issue_i for one cycle
248 yield dut.oper_i.sdir.eq(direction)
249 yield dut.issue_i.eq(1)
250 yield
251 yield dut.issue_i.eq(0)
252 # wait for busy to be negated
253 yield Settle()
254 while (yield dut.busy_o):
255 yield
256 yield Settle()
257 # update the operation count
258 nonlocal op_count
259 op_count = (op_count + 1) & 255
260 # check that producers and consumers have the same count
261 # this assures that no data was left unused or was lost
262 assert (yield producers[0].count) == op_count
263 assert (yield producers[1].count) == op_count
264 assert (yield consumers[0].count) == op_count
265
266 # 13 >> 2 = 3
267 # operand 1 arrives immediately
268 # operand 2 arrives after operand 1
269 # write data is accepted immediately
270 yield from op_sim_fsm(13, 2, 1, 3, [0, 2, 0])
271 # 3 << 4 = 48
272 # operand 2 arrives immediately
273 # operand 1 arrives after operand 2
274 # write data is accepted after some delay
275 yield from op_sim_fsm(3, 4, 0, 48, [2, 0, 2])
276 # 21 << 0 = 21
277 # operands 1 and 2 arrive at the same time
278 # write data is accepted after some delay
279 yield from op_sim_fsm(21, 0, 0, 21, [1, 1, 1])
280
281
282 def scoreboard_sim_dummy(op):
283 yield from op.issue([5, 2, 0], MicrOp.OP_NOP, [5],
284 src_delays=[0, 2, 1], dest_delays=[0])
285 yield from op.issue([9, 2, 0], MicrOp.OP_NOP, [9],
286 src_delays=[2, 1, 0], dest_delays=[2])
287
288
289 class OpSim:
290 """ALU Operation issuer
291
292 Issues operations to the DUT"""
293 def __init__(self, dut, sim):
294 self.op_count = 0
295 self.zero_a_count = 0
296 self.imm_ok_count = 0
297 self.dut = dut
298 # create one operand producer for each input port
299 self.producers = list()
300 for i in range(len(dut.src_i)):
301 self.producers.append(OperandProducer(sim, dut, i))
302 # create one result consumer for each output port
303 self.consumers = list()
304 for i in range(len(dut.dest)):
305 self.consumers.append(ResultConsumer(sim, dut, i))
306 def issue(self, src_i, op, expected, src_delays, dest_delays,
307 inv_a=0, imm=0, imm_ok=0, zero_a=0):
308 """Executes the issue operation"""
309 dut = self.dut
310 producers = self.producers
311 consumers = self.consumers
312 yield dut.issue_i.eq(0)
313 yield
314 # forward data and delays to the producers and consumers
315 # first, send special cases (with zero_a and/or imm_ok)
316 if not zero_a:
317 yield from producers[0].send(src_i[0], src_delays[0])
318 if not imm_ok:
319 yield from producers[1].send(src_i[1], src_delays[1])
320 # then, send the rest (if any)
321 for i in range(2, len(producers)):
322 yield from producers[i].send(src_i[i], src_delays[i])
323 for i in range(len(consumers)):
324 yield from consumers[i].receive(expected[i], dest_delays[i])
325 # submit operation, and assert issue_i for one cycle
326 yield dut.oper_i.insn_type.eq(op)
327 if hasattr(dut.oper_i, "invert_in"):
328 yield dut.oper_i.invert_in.eq(inv_a)
329 if hasattr(dut.oper_i, "imm_data"):
330 yield dut.oper_i.imm_data.data.eq(imm)
331 yield dut.oper_i.imm_data.ok.eq(imm_ok)
332 if hasattr(dut.oper_i, "zero_a"):
333 yield dut.oper_i.zero_a.eq(zero_a)
334 yield dut.issue_i.eq(1)
335 yield
336 yield dut.issue_i.eq(0)
337 # wait for busy to be negated
338 yield Settle()
339 while (yield dut.busy_o):
340 yield
341 yield Settle()
342 # update the operation count
343 self.op_count = (self.op_count + 1) & 255
344 # On zero_a and imm_ok executions, the producer counters will fall
345 # behind. But, by summing the following counts, the invariant is
346 # preserved.
347 if zero_a:
348 self.zero_a_count = self.zero_a_count + 1
349 if imm_ok:
350 self.imm_ok_count = self.imm_ok_count + 1
351 # check that producers and consumers have the same count
352 # this assures that no data was left unused or was lost
353 # first, check special cases (zero_a and imm_ok)
354 assert (yield producers[0].count) + self.zero_a_count == self.op_count
355 assert (yield producers[1].count) + self.imm_ok_count == self.op_count
356 # then, check the rest (if any)
357 for i in range(2, len(producers)):
358 assert (yield producers[i].count) == self.op_count
359 for i in range(len(consumers)):
360 assert (yield consumers[i].count) == self.op_count
361
362
363 def scoreboard_sim(op):
364 # zero (no) input operands test
365 # 0 + 8 = 8
366 yield from op.issue([5, 2], MicrOp.OP_ADD, [8],
367 zero_a=1, imm=8, imm_ok=1,
368 src_delays=[0, 2], dest_delays=[0])
369 # 5 + 8 = 13
370 yield from op.issue([5, 2], MicrOp.OP_ADD, [13],
371 inv_a=0, imm=8, imm_ok=1,
372 src_delays=[2, 0], dest_delays=[2])
373 # 5 + 2 = 7
374 yield from op.issue([5, 2], MicrOp.OP_ADD, [7],
375 src_delays=[1, 1], dest_delays=[1])
376 # (-6) + 2 = (-4)
377 yield from op.issue([5, 2], MicrOp.OP_ADD, [65532],
378 inv_a=1,
379 src_delays=[1, 2], dest_delays=[0])
380 # 0 + 2 = 2
381 yield from op.issue([5, 2], MicrOp.OP_ADD, [2],
382 zero_a=1,
383 src_delays=[2, 0], dest_delays=[1])
384
385 # test combinatorial zero-delay operation
386 # In the test ALU, any operation other than ADD, MUL or SHR
387 # is zero-delay, and do a subtraction.
388 # 5 - 2 = 3
389 yield from op.issue([5, 2], MicrOp.OP_NOP, [3],
390 src_delays=[0, 1], dest_delays=[2])
391
392
393 def test_compunit_fsm():
394 top = "top.cu" if is_engine_pysim() else "cu"
395 style = {
396 'in': {'color': 'orange'},
397 'out': {'color': 'yellow'},
398 }
399 traces = [
400 'clk',
401 ('operation port', {'color': 'red'}, [
402 'cu_issue_i', 'cu_busy_o',
403 {'comment': 'operation'},
404 'oper_i_None__sdir']),
405 ('operand 1 port', 'in', [
406 ('cu_rd__rel_o[1:0]', {'bit': 1}),
407 ('cu_rd__go_i[1:0]', {'bit': 1}),
408 'src1_i[7:0]']),
409 ('operand 2 port', 'in', [
410 ('cu_rd__rel_o[1:0]', {'bit': 0}),
411 ('cu_rd__go_i[1:0]', {'bit': 0}),
412 'src2_i[7:0]']),
413 ('result port', 'out', [
414 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[7:0]']),
415 ('alu', {'module': top+'.alu'}, [
416 ('prev port', 'in', [
417 'op__sdir', 'p_data_i[7:0]', 'p_shift_i[7:0]',
418 'p_valid_i', 'p_ready_o']),
419 ('next port', 'out', [
420 'n_data_o[7:0]', 'n_valid_o', 'n_ready_i']),
421 ]),
422 ('debug', {'module': 'top'},
423 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])]
424
425 write_gtkw(
426 "test_compunit_fsm1.gtkw",
427 "test_compunit_fsm1.vcd",
428 traces, style,
429 module=top
430 )
431 m = Module()
432 alu = Shifter(8)
433 dut = MultiCompUnit(8, alu, CompFSMOpSubset)
434 m.submodules.cu = dut
435
436 vl = rtlil.convert(dut, ports=dut.ports())
437 with open("test_compunit_fsm1.il", "w") as f:
438 f.write(vl)
439
440 sim = Simulator(m)
441 sim.add_clock(1e-6)
442
443 # create one operand producer for each input port
444 prod_a = OperandProducer(sim, dut, 0)
445 prod_b = OperandProducer(sim, dut, 1)
446 # create an result consumer for the output port
447 cons = ResultConsumer(sim, dut, 0)
448 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
449 [prod_a, prod_b],
450 [cons])))
451 sim_writer = sim.write_vcd('test_compunit_fsm1.vcd',
452 traces=[prod_a.count,
453 prod_b.count,
454 cons.count])
455 with sim_writer:
456 sim.run()
457
458
459 def test_compunit():
460
461 m = Module()
462 alu = ALU(16)
463 dut = MultiCompUnit(16, alu, CompALUOpSubset)
464 m.submodules.cu = dut
465
466 vl = rtlil.convert(dut, ports=dut.ports())
467 with open("test_compunit1.il", "w") as f:
468 f.write(vl)
469
470 sim = Simulator(m)
471 sim.add_clock(1e-6)
472
473 # create an operation issuer
474 op = OpSim(dut, sim)
475 sim.add_sync_process(wrap(scoreboard_sim(op)))
476 sim_writer = sim.write_vcd('test_compunit1.vcd')
477 with sim_writer:
478 sim.run()
479
480
481 class CompUnitParallelTest:
482 def __init__(self, dut):
483 self.dut = dut
484
485 # Operation cycle should not take longer than this:
486 self.MAX_BUSY_WAIT = 50
487
488 # Minimum duration in which issue_i will be kept inactive,
489 # during which busy_o must remain low.
490 self.MIN_BUSY_LOW = 5
491
492 # Number of cycles to stall until the assertion of go.
493 # One value, for each port. Can be zero, for no delay.
494 self.RD_GO_DELAY = [0, 3]
495
496 # store common data for the input operation of the processes
497 # input operation:
498 self.op = 0
499 self.inv_a = self.zero_a = 0
500 self.imm = self.imm_ok = 0
501 self.imm_control = (0, 0)
502 self.rdmaskn = (0, 0)
503 # input data:
504 self.operands = (0, 0)
505
506 # Indicates completion of the sub-processes
507 self.rd_complete = [False, False]
508
509 def driver(self):
510 print("Begin parallel test.")
511 yield from self.operation(5, 2, MicrOp.OP_ADD)
512
513 def operation(self, a, b, op, inv_a=0, imm=0, imm_ok=0, zero_a=0,
514 rdmaskn=(0, 0)):
515 # store data for the operation
516 self.operands = (a, b)
517 self.op = op
518 self.inv_a = inv_a
519 self.imm = imm
520 self.imm_ok = imm_ok
521 self.zero_a = zero_a
522 self.imm_control = (zero_a, imm_ok)
523 self.rdmaskn = rdmaskn
524
525 # Initialize completion flags
526 self.rd_complete = [False, False]
527
528 # trigger operation cycle
529 yield from self.issue()
530
531 # check that the sub-processes completed, before the busy_o cycle ended
532 for completion in self.rd_complete:
533 assert completion
534
535 def issue(self):
536 # issue_i starts inactive
537 yield self.dut.issue_i.eq(0)
538
539 for n in range(self.MIN_BUSY_LOW):
540 yield
541 # busy_o must remain inactive. It cannot rise on its own.
542 busy_o = yield self.dut.busy_o
543 assert not busy_o
544
545 # activate issue_i to begin the operation cycle
546 yield self.dut.issue_i.eq(1)
547
548 # at the same time, present the operation
549 yield self.dut.oper_i.insn_type.eq(self.op)
550 yield self.dut.oper_i.invert_in.eq(self.inv_a)
551 yield self.dut.oper_i.imm_data.data.eq(self.imm)
552 yield self.dut.oper_i.imm_data.ok.eq(self.imm_ok)
553 yield self.dut.oper_i.zero_a.eq(self.zero_a)
554 rdmaskn = self.rdmaskn[0] | (self.rdmaskn[1] << 1)
555 yield self.dut.rdmaskn.eq(rdmaskn)
556
557 # give one cycle for the CompUnit to latch the data
558 yield
559
560 # busy_o must keep being low in this cycle, because issue_i was
561 # low on the previous cycle.
562 # It cannot rise on its own.
563 # Also, busy_o and issue_i must never be active at the same time, ever.
564 busy_o = yield self.dut.busy_o
565 assert not busy_o
566
567 # Lower issue_i
568 yield self.dut.issue_i.eq(0)
569
570 # deactivate inputs along with issue_i, so we can be sure the data
571 # was latched at the correct cycle
572 # note: rdmaskn must be held, while busy_o is active
573 # TODO: deactivate rdmaskn when the busy_o cycle ends
574 yield self.dut.oper_i.insn_type.eq(0)
575 yield self.dut.oper_i.invert_in.eq(0)
576 yield self.dut.oper_i.imm_data.data.eq(0)
577 yield self.dut.oper_i.imm_data.ok.eq(0)
578 yield self.dut.oper_i.zero_a.eq(0)
579 yield
580
581 # wait for busy_o to lower
582 # timeout after self.MAX_BUSY_WAIT cycles
583 for n in range(self.MAX_BUSY_WAIT):
584 # sample busy_o in the current cycle
585 busy_o = yield self.dut.busy_o
586 if not busy_o:
587 # operation cycle ends when busy_o becomes inactive
588 break
589 yield
590
591 # if busy_o is still active, a timeout has occurred
592 # TODO: Uncomment this, once the test is complete:
593 # assert not busy_o
594
595 if busy_o:
596 print("If you are reading this, "
597 "it's because the above test failed, as expected,\n"
598 "with a timeout. It must pass, once the test is complete.")
599 return
600
601 print("If you are reading this, "
602 "it's because the above test unexpectedly passed.")
603
604 def rd(self, rd_idx):
605 # wait for issue_i to rise
606 while True:
607 issue_i = yield self.dut.issue_i
608 if issue_i:
609 break
610 # issue_i has not risen yet, so rd must keep low
611 rel = yield self.dut.rd.rel_o[rd_idx]
612 assert not rel
613 yield
614
615 # we do not want rd to rise on an immediate operand
616 # if it is immediate, exit the process
617 # likewise, if the read mask is active
618 # TODO: don't exit the process, monitor rd instead to ensure it
619 # doesn't rise on its own
620 if self.rdmaskn[rd_idx] or self.imm_control[rd_idx]:
621 self.rd_complete[rd_idx] = True
622 return
623
624 # issue_i has risen. rel must rise on the next cycle
625 rel = yield self.dut.rd.rel_o[rd_idx]
626 assert not rel
627
628 # stall for additional cycles. Check that rel doesn't fall on its own
629 for n in range(self.RD_GO_DELAY[rd_idx]):
630 yield
631 rel = yield self.dut.rd.rel_o[rd_idx]
632 assert rel
633
634 # Before asserting "go", make sure "rel" has risen.
635 # The use of Settle allows "go" to be set combinatorially,
636 # rising on the same cycle as "rel".
637 yield Settle()
638 rel = yield self.dut.rd.rel_o[rd_idx]
639 assert rel
640
641 # assert go for one cycle, passing along the operand value
642 yield self.dut.rd.go_i[rd_idx].eq(1)
643 yield self.dut.src_i[rd_idx].eq(self.operands[rd_idx])
644 # check that the operand was sent to the alu
645 # TODO: Properly check the alu protocol
646 yield Settle()
647 alu_input = yield self.dut.get_in(rd_idx)
648 assert alu_input == self.operands[rd_idx]
649 yield
650
651 # rel must keep high, since go was inactive in the last cycle
652 rel = yield self.dut.rd.rel_o[rd_idx]
653 assert rel
654
655 # finish the go one-clock pulse
656 yield self.dut.rd.go_i[rd_idx].eq(0)
657 yield self.dut.src_i[rd_idx].eq(0)
658 yield
659
660 # rel must have gone low in response to go being high
661 # on the previous cycle
662 rel = yield self.dut.rd.rel_o[rd_idx]
663 assert not rel
664
665 self.rd_complete[rd_idx] = True
666
667 # TODO: check that rel doesn't rise again until the end of the
668 # busy_o cycle
669
670 def wr(self, wr_idx):
671 # monitor self.dut.wr.req[rd_idx] and sets dut.wr.go[idx] for one cycle
672 yield
673 # TODO: also when dut.wr.go is set, check the output against the
674 # self.expected_o and assert. use dut.get_out(wr_idx) to do so.
675
676 def run_simulation(self, vcd_name):
677 m = Module()
678 m.submodules.cu = self.dut
679 sim = Simulator(m)
680 sim.add_clock(1e-6)
681
682 sim.add_sync_process(wrap(self.driver()))
683 sim.add_sync_process(wrap(self.rd(0)))
684 sim.add_sync_process(wrap(self.rd(1)))
685 sim.add_sync_process(wrap(self.wr(0)))
686 sim_writer = sim.write_vcd(vcd_name)
687 with sim_writer:
688 sim.run()
689
690
691 def test_compunit_regspec2_fsm():
692
693 inspec = [('INT', 'data', '0:15'),
694 ('INT', 'shift', '0:15')]
695 outspec = [('INT', 'data', '0:15')]
696
697 regspec = (inspec, outspec)
698
699 m = Module()
700 alu = Shifter(8)
701 dut = MultiCompUnit(regspec, alu, CompFSMOpSubset)
702 m.submodules.cu = dut
703
704 sim = Simulator(m)
705 sim.add_clock(1e-6)
706
707 # create one operand producer for each input port
708 prod_a = OperandProducer(sim, dut, 0)
709 prod_b = OperandProducer(sim, dut, 1)
710 # create an result consumer for the output port
711 cons = ResultConsumer(sim, dut, 0)
712 sim.add_sync_process(wrap(scoreboard_sim_fsm(dut,
713 [prod_a, prod_b],
714 [cons])))
715 sim_writer = sim.write_vcd('test_compunit_regspec2_fsm.vcd',
716 traces=[prod_a.count,
717 prod_b.count,
718 cons.count])
719 with sim_writer:
720 sim.run()
721
722
723 def test_compunit_regspec3():
724
725 style = {
726 'in': {'color': 'orange'},
727 'out': {'color': 'yellow'},
728 }
729 traces = [
730 'clk',
731 ('operation port', {'color': 'red'}, [
732 'cu_issue_i', 'cu_busy_o',
733 {'comment': 'operation'},
734 ('oper_i_None__insn_type', {'display': 'insn_type'})]),
735 ('operand 1 port', 'in', [
736 ('cu_rd__rel_o[2:0]', {'bit': 2}),
737 ('cu_rd__go_i[2:0]', {'bit': 2}),
738 'src1_i[15:0]']),
739 ('operand 2 port', 'in', [
740 ('cu_rd__rel_o[2:0]', {'bit': 1}),
741 ('cu_rd__go_i[2:0]', {'bit': 1}),
742 'src2_i[15:0]']),
743 ('operand 3 port', 'in', [
744 ('cu_rd__rel_o[2:0]', {'bit': 0}),
745 ('cu_rd__go_i[2:0]', {'bit': 0}),
746 'src1_i[15:0]']),
747 ('result port', 'out', [
748 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[15:0]']),
749 ('alu', {'module': 'top.cu.alu'}, [
750 ('prev port', 'in', [
751 'oper_i_None__insn_type', 'i1[15:0]',
752 'valid_i', 'ready_o']),
753 ('next port', 'out', [
754 'alu_o[15:0]', 'valid_o', 'ready_i'])])]
755
756 write_gtkw("test_compunit_regspec3.gtkw",
757 "test_compunit_regspec3.vcd",
758 traces, style,
759 clk_period=1e-6,
760 module='top.cu')
761
762 inspec = [('INT', 'a', '0:15'),
763 ('INT', 'b', '0:15'),
764 ('INT', 'c', '0:15')]
765 outspec = [('INT', 'o', '0:15')]
766
767 regspec = (inspec, outspec)
768
769 m = Module()
770 alu = DummyALU(16)
771 dut = MultiCompUnit(regspec, alu, CompCROpSubset)
772 m.submodules.cu = dut
773
774 sim = Simulator(m)
775 sim.add_clock(1e-6)
776
777 # create an operation issuer
778 op = OpSim(dut, sim)
779 sim.add_sync_process(wrap(scoreboard_sim_dummy(op)))
780 sim_writer = sim.write_vcd('test_compunit_regspec3.vcd')
781 with sim_writer:
782 sim.run()
783
784
785 def test_compunit_regspec1():
786
787 style = {
788 'in': {'color': 'orange'},
789 'out': {'color': 'yellow'},
790 }
791 traces = [
792 'clk',
793 ('operation port', {'color': 'red'}, [
794 'cu_issue_i', 'cu_busy_o',
795 {'comment': 'operation'},
796 ('oper_i_None__insn_type', {'display': 'insn_type'}),
797 ('oper_i_None__invert_in', {'display': 'invert_in'}),
798 ('oper_i_None__imm_data__data[63:0]', {'display': 'data[63:0]'}),
799 ('oper_i_None__imm_data__ok', {'display': 'imm_ok'}),
800 ('oper_i_None__zero_a', {'display': 'zero_a'})]),
801 ('operand 1 port', 'in', [
802 ('cu_rd__rel_o[1:0]', {'bit': 1}),
803 ('cu_rd__go_i[1:0]', {'bit': 1}),
804 'src1_i[15:0]']),
805 ('operand 2 port', 'in', [
806 ('cu_rd__rel_o[1:0]', {'bit': 0}),
807 ('cu_rd__go_i[1:0]', {'bit': 0}),
808 'src2_i[15:0]']),
809 ('result port', 'out', [
810 'cu_wr__rel_o', 'cu_wr__go_i', 'dest1_o[15:0]']),
811 ('alu', {'module': 'top.cu.alu'}, [
812 ('prev port', 'in', [
813 'op__insn_type', 'op__invert_in', 'a[15:0]', 'b[15:0]',
814 'valid_i', 'ready_o']),
815 ('next port', 'out', [
816 'alu_o[15:0]', 'valid_o', 'ready_i'])]),
817 ('debug', {'module': 'top'},
818 ['src1_count[7:0]', 'src2_count[7:0]', 'dest1_count[7:0]'])]
819
820 write_gtkw("test_compunit_regspec1.gtkw",
821 "test_compunit_regspec1.vcd",
822 traces, style,
823 clk_period=1e-6,
824 module='top.cu')
825
826 inspec = [('INT', 'a', '0:15'),
827 ('INT', 'b', '0:15')]
828 outspec = [('INT', 'o', '0:15')]
829
830 regspec = (inspec, outspec)
831
832 m = Module()
833 alu = ALU(16)
834 dut = MultiCompUnit(regspec, alu, CompALUOpSubset)
835 m.submodules.cu = dut
836
837 vl = rtlil.convert(dut, ports=dut.ports())
838 with open("test_compunit_regspec1.il", "w") as f:
839 f.write(vl)
840
841 sim = Simulator(m)
842 sim.add_clock(1e-6)
843
844 # create an operation issuer
845 op = OpSim(dut, sim)
846 sim.add_sync_process(wrap(scoreboard_sim(op)))
847 sim_writer = sim.write_vcd('test_compunit_regspec1.vcd',
848 traces=[op.producers[0].count,
849 op.producers[1].count,
850 op.consumers[0].count])
851 with sim_writer:
852 sim.run()
853
854 test = CompUnitParallelTest(dut)
855 test.run_simulation("test_compunit_parallel.vcd")
856
857
858 if __name__ == '__main__':
859 test_compunit()
860 test_compunit_fsm()
861 test_compunit_regspec1()
862 test_compunit_regspec2_fsm()
863 test_compunit_regspec3()