convert logical to output Data on int reg
[soc.git] / src / soc / fu / logical / main_stage.py
1 # This stage is intended to do most of the work of executing Logical
2 # instructions. This is OR, AND, XOR, POPCNT, PRTY, CMPB, BPERMD, CNTLZ
3 # however input and output stages also perform bit-negation on input(s)
4 # and output, as well as carry and overflow generation.
5 # This module however should not gate the carry or overflow, that's up
6 # to the output stage
7
8 from nmigen import (Module, Signal, Cat, Repl, Mux, Const, Array)
9 from nmutil.pipemodbase import PipeModBase
10 from nmutil.clz import CLZ
11 from soc.fu.logical.pipe_data import LogicalInputData
12 from soc.fu.logical.bpermd import Bpermd
13 from soc.fu.logical.pipe_data import LogicalOutputData
14 from ieee754.part.partsig import PartitionedSignal
15 from soc.decoder.power_enums import InternalOp
16
17 from soc.decoder.power_fields import DecodeFields
18 from soc.decoder.power_fieldsn import SignalBitRange
19
20
21 def array_of(count, bitwidth):
22 res = []
23 for i in range(count):
24 res.append(Signal(bitwidth, reset_less=True,
25 name=f"pop_{bitwidth}_{i}"))
26 return res
27
28
29 class LogicalMainStage(PipeModBase):
30 def __init__(self, pspec):
31 super().__init__(pspec, "main")
32 self.fields = DecodeFields(SignalBitRange, [self.i.ctx.op.insn])
33 self.fields.create_specs()
34
35 def ispec(self):
36 return LogicalInputData(self.pspec)
37
38 def ospec(self):
39 return LogicalOutputData(self.pspec)
40
41 def elaborate(self, platform):
42 m = Module()
43 comb = m.d.comb
44 op, a, b, o = self.i.ctx.op, self.i.a, self.i.b, self.o.o
45
46 comb += o.ok.eq(1) # overridden if no op activates
47
48 ##########################
49 # main switch for logic ops AND, OR and XOR, cmpb, parity, and popcount
50
51 with m.Switch(op.insn_type):
52
53 ###### AND, OR, XOR #######
54 with m.Case(InternalOp.OP_AND):
55 comb += o.data.eq(a & b)
56 with m.Case(InternalOp.OP_OR):
57 comb += o.data.eq(a | b)
58 with m.Case(InternalOp.OP_XOR):
59 comb += o.data.eq(a ^ b)
60
61 ###### cmpb #######
62 with m.Case(InternalOp.OP_CMPB):
63 l = []
64 for i in range(8):
65 slc = slice(i*8, (i+1)*8)
66 l.append(Repl(a[slc] == b[slc], 8))
67 comb += o.data.eq(Cat(*l))
68
69 ###### popcount #######
70 with m.Case(InternalOp.OP_POPCNT):
71 # starting from a, perform successive addition-reductions
72 # creating arrays big enough to store the sum, each time
73 pc = [a]
74 # QTY32 2-bit (to take 2x 1-bit sums) etc.
75 work = [(32, 2), (16, 3), (8, 4), (4, 5), (2, 6), (1, 7)]
76 for l, b in work:
77 pc.append(array_of(l, b))
78 pc8 = pc[3] # array of 8 8-bit counts (popcntb)
79 pc32 = pc[5] # array of 2 32-bit counts (popcntw)
80 popcnt = pc[-1] # array of 1 64-bit count (popcntd)
81 # cascade-tree of adds
82 for idx, (l, b) in enumerate(work):
83 for i in range(l):
84 stt, end = i*2, i*2+1
85 src, dst = pc[idx], pc[idx+1]
86 comb += dst[i].eq(Cat(src[stt], Const(0, 1)) +
87 Cat(src[end], Const(0, 1)))
88 # decode operation length
89 with m.If(op.data_len == 1):
90 # popcntb - pack 8x 4-bit answers into output
91 for i in range(8):
92 comb += o[i*8:(i+1)*8].eq(pc8[i])
93 with m.Elif(op.data_len == 4):
94 # popcntw - pack 2x 5-bit answers into output
95 for i in range(2):
96 comb += o[i*32:(i+1)*32].eq(pc32[i])
97 with m.Else():
98 # popcntd - put 1x 6-bit answer into output
99 comb += o.data.eq(popcnt[0])
100
101 ###### parity #######
102 with m.Case(InternalOp.OP_PRTY):
103 # strange instruction which XORs together the LSBs of each byte
104 par0 = Signal(reset_less=True)
105 par1 = Signal(reset_less=True)
106 comb += par0.eq(Cat(a[0], a[8], a[16], a[24]).xor())
107 comb += par1.eq(Cat(a[32], a[40], a[48], a[56]).xor())
108 with m.If(op.data_len[3] == 1):
109 comb += o.data.eq(par0 ^ par1)
110 with m.Else():
111 comb += o[0].eq(par0)
112 comb += o[32].eq(par1)
113
114 ###### cntlz #######
115 with m.Case(InternalOp.OP_CNTZ):
116 XO = self.fields.FormX.XO[0:-1]
117 count_right = Signal(reset_less=True)
118 comb += count_right.eq(XO[-1])
119
120 cntz_i = Signal(64, reset_less=True)
121 a32 = Signal(32, reset_less=True)
122 comb += a32.eq(a[0:32])
123
124 with m.If(op.is_32bit):
125 comb += cntz_i.eq(Mux(count_right, a32[::-1], a32))
126 with m.Else():
127 comb += cntz_i.eq(Mux(count_right, a[::-1], a))
128
129 m.submodules.clz = clz = CLZ(64)
130 comb += clz.sig_in.eq(cntz_i)
131 comb += o.data.eq(Mux(op.is_32bit, clz.lz-32, clz.lz))
132
133 ###### bpermd #######
134 with m.Case(InternalOp.OP_BPERM):
135 m.submodules.bpermd = bpermd = Bpermd(64)
136 comb += bpermd.rs.eq(a)
137 comb += bpermd.rb.eq(b)
138 comb += o.data.eq(bpermd.ra)
139
140 with m.Default():
141 comb += o.ok.eq(0)
142
143 ###### context, pass-through #####
144
145 comb += self.o.ctx.eq(self.i.ctx)
146
147 return m