move contents of run_sim_state into SimRunner run_test function
[soc.git] / src / soc / simple / test / test_runner.py
1 """TestRunner class, runs TestIssuer instructions
2
3 related bugs:
4
5 * https://bugs.libre-soc.org/show_bug.cgi?id=363
6 * https://bugs.libre-soc.org/show_bug.cgi?id=686#c51
7 """
8 from nmigen import Module, Signal, Cat, ClockSignal
9 from nmigen.hdl.xfrm import ResetInserter
10 from copy import copy
11
12 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
13 # Also, check out the cxxsim nmigen branch, and latest yosys from git
14 from nmutil.sim_tmp_alternative import Simulator, Settle
15
16 from nmutil.formaltest import FHDLTestCase
17 from nmutil.gtkw import write_gtkw
18 from nmigen.cli import rtlil
19 from openpower.decoder.isa.caller import special_sprs, SVP64State
20 from openpower.decoder.isa.all import ISA
21 from openpower.endian import bigendian
22
23 from openpower.decoder.power_decoder import create_pdecode
24 from openpower.decoder.power_decoder2 import PowerDecode2
25 from soc.regfile.regfiles import StateRegs
26
27 from soc.simple.issuer import TestIssuerInternal
28
29 from soc.config.test.test_loadstore import TestMemPspec
30 from soc.simple.test.test_core import (setup_regs, check_regs, check_mem,
31 wait_for_busy_clear,
32 wait_for_busy_hi)
33 from soc.fu.compunits.test.test_compunit import (setup_tst_memory,
34 check_sim_memory)
35 from soc.debug.dmi import DBGCore, DBGCtrl, DBGStat
36 from nmutil.util import wrap
37 from soc.experiment.test.test_mmu_dcache import wb_get
38 from openpower.test.state import TestState, StateRunner
39
40
41 def setup_i_memory(imem, startaddr, instructions):
42 mem = imem
43 print("insn before, init mem", mem.depth, mem.width, mem,
44 len(instructions))
45 for i in range(mem.depth):
46 yield mem._array[i].eq(0)
47 yield Settle()
48 startaddr //= 4 # instructions are 32-bit
49 if mem.width == 32:
50 mask = ((1 << 32)-1)
51 for ins in instructions:
52 if isinstance(ins, tuple):
53 insn, code = ins
54 else:
55 insn, code = ins, ''
56 insn = insn & 0xffffffff
57 yield mem._array[startaddr].eq(insn)
58 yield Settle()
59 if insn != 0:
60 print("instr: %06x 0x%x %s" % (4*startaddr, insn, code))
61 startaddr += 1
62 startaddr = startaddr & mask
63 return
64
65 # 64 bit
66 mask = ((1 << 64)-1)
67 for ins in instructions:
68 if isinstance(ins, tuple):
69 insn, code = ins
70 else:
71 insn, code = ins, ''
72 insn = insn & 0xffffffff
73 msbs = (startaddr >> 1) & mask
74 val = yield mem._array[msbs]
75 if insn != 0:
76 print("before set", hex(4*startaddr),
77 hex(msbs), hex(val), hex(insn))
78 lsb = 1 if (startaddr & 1) else 0
79 val = (val | (insn << (lsb*32)))
80 val = val & mask
81 yield mem._array[msbs].eq(val)
82 yield Settle()
83 if insn != 0:
84 print("after set", hex(4*startaddr), hex(msbs), hex(val))
85 print("instr: %06x 0x%x %s %08x" % (4*startaddr, insn, code, val))
86 startaddr += 1
87 startaddr = startaddr & mask
88
89
90 def set_dmi(dmi, addr, data):
91 yield dmi.req_i.eq(1)
92 yield dmi.addr_i.eq(addr)
93 yield dmi.din.eq(data)
94 yield dmi.we_i.eq(1)
95 while True:
96 ack = yield dmi.ack_o
97 if ack:
98 break
99 yield
100 yield
101 yield dmi.req_i.eq(0)
102 yield dmi.addr_i.eq(0)
103 yield dmi.din.eq(0)
104 yield dmi.we_i.eq(0)
105 yield
106
107
108 def get_dmi(dmi, addr):
109 yield dmi.req_i.eq(1)
110 yield dmi.addr_i.eq(addr)
111 yield dmi.din.eq(0)
112 yield dmi.we_i.eq(0)
113 while True:
114 ack = yield dmi.ack_o
115 if ack:
116 break
117 yield
118 yield # wait one
119 data = yield dmi.dout # get data after ack valid for 1 cycle
120 yield dmi.req_i.eq(0)
121 yield dmi.addr_i.eq(0)
122 yield dmi.we_i.eq(0)
123 yield
124 return data
125
126
127 def run_hdl_state(dut, test, issuer, pc_i, svstate_i, instructions):
128 """run_hdl_state - runs a TestIssuer nmigen HDL simulation
129 """
130
131 imem = issuer.imem._get_memory()
132 core = issuer.core
133 dmi = issuer.dbg.dmi
134 pdecode2 = issuer.pdecode2
135 l0 = core.l0
136 hdl_states = []
137
138 # establish the TestIssuer context (mem, regs etc)
139
140 pc = 0 # start address
141 counter = 0 # test to pause/start
142
143 yield from setup_i_memory(imem, pc, instructions)
144 yield from setup_tst_memory(l0, test.mem)
145 yield from setup_regs(pdecode2, core, test)
146
147 # set PC and SVSTATE
148 yield pc_i.eq(pc)
149 yield issuer.pc_i.ok.eq(1)
150
151 # copy initial SVSTATE
152 initial_svstate = copy(test.svstate)
153 if isinstance(initial_svstate, int):
154 initial_svstate = SVP64State(initial_svstate)
155 yield svstate_i.eq(initial_svstate.value)
156 yield issuer.svstate_i.ok.eq(1)
157 yield
158
159 print("instructions", instructions)
160
161 # run the loop of the instructions on the current test
162 index = (yield issuer.cur_state.pc) // 4
163 while index < len(instructions):
164 ins, code = instructions[index]
165
166 print("hdl instr: 0x{:X}".format(ins & 0xffffffff))
167 print(index, code)
168
169 if counter == 0:
170 # start the core
171 yield
172 yield from set_dmi(dmi, DBGCore.CTRL,
173 1<<DBGCtrl.START)
174 yield issuer.pc_i.ok.eq(0) # no change PC after this
175 yield issuer.svstate_i.ok.eq(0) # ditto
176 yield
177 yield
178
179 counter = counter + 1
180
181 # wait until executed
182 while not (yield issuer.insn_done):
183 yield
184
185 yield Settle()
186
187 index = (yield issuer.cur_state.pc) // 4
188
189 terminated = yield issuer.dbg.terminated_o
190 print("terminated", terminated)
191
192 if index < len(instructions):
193 # Get HDL mem and state
194 state = yield from TestState("hdl", core, dut,
195 code)
196 hdl_states.append(state)
197
198 if index >= len(instructions):
199 print ("index over, send dmi stop")
200 # stop at end
201 yield from set_dmi(dmi, DBGCore.CTRL,
202 1<<DBGCtrl.STOP)
203 yield
204 yield
205
206 terminated = yield issuer.dbg.terminated_o
207 print("terminated(2)", terminated)
208 if terminated:
209 break
210
211 return hdl_states
212
213
214 class SimRunner(StateRunner):
215 def __init__(self, dut, m, pspec):
216 self.dut = dut
217
218 regreduce_en = pspec.regreduce_en == True
219 self.simdec2 = simdec2 = PowerDecode2(None, regreduce_en=regreduce_en)
220 m.submodules.simdec2 = simdec2 # pain in the neck
221
222 def prepare_for_test(self, test):
223 self.test = test
224
225 def run_test(self, instructions, gen, insncode):
226 """run_sim_state - runs an ISACaller simulation
227 """
228
229 dut, test, simdec2 = self.dut, self.test, self.simdec2
230 sim_states = []
231
232 # set up the Simulator (which must track TestIssuer exactly)
233 sim = ISA(simdec2, test.regs, test.sprs, test.cr, test.mem,
234 test.msr,
235 initial_insns=gen, respect_pc=True,
236 disassembly=insncode,
237 bigendian=bigendian,
238 initial_svstate=test.svstate)
239
240 # run the loop of the instructions on the current test
241 index = sim.pc.CIA.value//4
242 while index < len(instructions):
243 ins, code = instructions[index]
244
245 print("sim instr: 0x{:X}".format(ins & 0xffffffff))
246 print(index, code)
247
248 # set up simulated instruction (in simdec2)
249 try:
250 yield from sim.setup_one()
251 except KeyError: # instruction not in imem: stop
252 break
253 yield Settle()
254
255 # call simulated operation
256 print("sim", code)
257 yield from sim.execute_one()
258 yield Settle()
259 index = sim.pc.CIA.value//4
260
261 # get sim register and memory TestState, add to list
262 state = yield from TestState("sim", sim, dut, code)
263 sim_states.append(state)
264
265 return sim_states
266
267
268 class HDLRunner(StateRunner):
269 def __init__(self, dut, m, pspec):
270 self.dut = dut
271 #hard_reset = Signal(reset_less=True)
272 self.issuer = TestIssuerInternal(pspec)
273 # use DMI RESET command instead, this does actually work though
274 #issuer = ResetInserter({'coresync': hard_reset,
275 # 'sync': hard_reset})(issuer)
276 m.submodules.issuer = self.issuer
277 self.dmi = self.issuer.dbg.dmi
278
279
280 class TestRunner(FHDLTestCase):
281 def __init__(self, tst_data, microwatt_mmu=False, rom=None,
282 svp64=True, run_hdl=True, run_sim=True):
283 super().__init__("run_all")
284 self.test_data = tst_data
285 self.microwatt_mmu = microwatt_mmu
286 self.rom = rom
287 self.svp64 = svp64
288 self.run_hdl = run_hdl
289 self.run_sim = run_sim
290
291 def run_all(self):
292 m = Module()
293 comb = m.d.comb
294 if self.microwatt_mmu:
295 ldst_ifacetype = 'test_mmu_cache_wb'
296 else:
297 ldst_ifacetype = 'test_bare_wb'
298 imem_ifacetype = 'test_bare_wb'
299
300 pspec = TestMemPspec(ldst_ifacetype=ldst_ifacetype,
301 imem_ifacetype=imem_ifacetype,
302 addr_wid=48,
303 mask_wid=8,
304 imem_reg_wid=64,
305 # wb_data_width=32,
306 use_pll=False,
307 nocore=False,
308 xics=False,
309 gpio=False,
310 regreduce=True,
311 svp64=self.svp64,
312 mmu=self.microwatt_mmu,
313 reg_wid=64)
314
315 ###### SETUP PHASE #######
316 # StateRunner.setup_for_test()
317
318 if self.run_hdl:
319 hdlrun = HDLRunner(self, m, pspec)
320
321 if self.run_sim:
322 simrun = SimRunner(self, m, pspec)
323
324 # run core clock at same rate as test clock
325 intclk = ClockSignal("coresync")
326 comb += intclk.eq(ClockSignal())
327
328 if self.run_hdl:
329
330 pc_i = Signal(32)
331 svstate_i = Signal(64)
332
333 comb += hdlrun.issuer.pc_i.data.eq(pc_i)
334 comb += hdlrun.issuer.svstate_i.data.eq(svstate_i)
335
336 # nmigen Simulation - everything runs around this, so it
337 # still has to be created.
338 sim = Simulator(m)
339 sim.add_clock(1e-6)
340
341 def process():
342
343 ###### PREPARATION PHASE AT START OF RUNNING #######
344 # StateRunner.setup_during_test()
345
346 if self.run_hdl:
347 # start in stopped
348 yield from set_dmi(hdlrun.dmi, DBGCore.CTRL, 1<<DBGCtrl.STOP)
349 yield
350
351 # get each test, completely reset the core, and run it
352
353 for test in self.test_data:
354
355 with self.subTest(test.name):
356
357 ###### PREPARATION PHASE AT START OF TEST #######
358 # StateRunner.prepare_for_test()
359
360 if self.run_sim:
361 simrun.prepare_for_test(test)
362
363 if self.run_hdl:
364 # set up bigendian (TODO: don't do this, use MSR)
365 yield hdlrun.issuer.core_bigendian_i.eq(bigendian)
366 yield Settle()
367
368 yield
369 yield
370 yield
371 yield
372
373 print(test.name)
374 program = test.program
375 print("regs", test.regs)
376 print("sprs", test.sprs)
377 print("cr", test.cr)
378 print("mem", test.mem)
379 print("msr", test.msr)
380 print("assem", program.assembly)
381 gen = list(program.generate_instructions())
382 insncode = program.assembly.splitlines()
383 instructions = list(zip(gen, insncode))
384
385 ###### RUNNING OF EACH TEST #######
386 # StateRunner.step_test()
387
388 # Run two tests (TODO, move these to functions)
389 # * first the Simulator, collate a batch of results
390 # * then the HDL, likewise
391 # (actually, the other way round because running
392 # Simulator somehow modifies the test state!)
393 # * finally, compare all the results
394
395 ##########
396 # 1. HDL
397 ##########
398 if self.run_hdl:
399 hdl_states = yield from run_hdl_state(self, test,
400 hdlrun.issuer,
401 pc_i, svstate_i,
402 instructions)
403
404 ##########
405 # 2. Simulator
406 ##########
407
408 if self.run_sim:
409 sim_states = yield from simrun.run_test(
410 instructions, gen,
411 insncode)
412
413 ###### COMPARING THE TESTS #######
414
415 ###############
416 # 3. Compare
417 ###############
418
419 if self.run_sim:
420 last_sim = copy(sim_states[-1])
421 elif self.run_hdl:
422 last_sim = copy(hdl_states[-1])
423 else:
424 last_sim = None # err what are you doing??
425
426 if self.run_hdl and self.run_sim:
427 for simstate, hdlstate in zip(sim_states, hdl_states):
428 simstate.compare(hdlstate) # register check
429 simstate.compare_mem(hdlstate) # memory check
430
431 if self.run_hdl:
432 print ("hdl_states")
433 for state in hdl_states:
434 print (state)
435
436 if self.run_sim:
437 print ("sim_states")
438 for state in sim_states:
439 print (state)
440
441 # compare against expected results
442 if test.expected is not None:
443 # have to put these in manually
444 test.expected.to_test = test.expected
445 test.expected.dut = self
446 test.expected.state_type = "expected"
447 test.expected.code = 0
448 # do actual comparison, against last item
449 last_sim.compare(test.expected)
450
451 if self.run_hdl and self.run_sim:
452 self.assertTrue(len(hdl_states) == len(sim_states),
453 "number of instructions run not the same")
454
455 ###### END OF A TEST #######
456 # StateRunner.end_test()
457
458 if self.run_hdl:
459 # stop at end
460 yield from set_dmi(hdlrun.dmi, DBGCore.CTRL, 1<<DBGCtrl.STOP)
461 yield
462 yield
463
464 # TODO, here is where the static (expected) results
465 # can be checked: register check (TODO, memory check)
466 # see https://bugs.libre-soc.org/show_bug.cgi?id=686#c51
467 # yield from check_regs(self, sim, core, test, code,
468 # >>>expected_data<<<)
469
470 # get CR
471 cr = yield from get_dmi(hdlrun.dmi, DBGCore.CR)
472 print("after test %s cr value %x" % (test.name, cr))
473
474 # get XER
475 xer = yield from get_dmi(hdlrun.dmi, DBGCore.XER)
476 print("after test %s XER value %x" % (test.name, xer))
477
478 # test of dmi reg get
479 for int_reg in range(32):
480 yield from set_dmi(hdlrun.dmi, DBGCore.GSPR_IDX, int_reg)
481 value = yield from get_dmi(hdlrun.dmi, DBGCore.GSPR_DATA)
482
483 print("after test %s reg %2d value %x" %
484 (test.name, int_reg, value))
485
486 # pull a reset
487 yield from set_dmi(hdlrun.dmi, DBGCore.CTRL, 1<<DBGCtrl.RESET)
488 yield
489
490 ###### END OF EVERYTHING (but none needs doing, still call fn) #######
491 # StateRunner.cleanup()
492
493 styles = {
494 'dec': {'base': 'dec'},
495 'bin': {'base': 'bin'},
496 'closed': {'closed': True}
497 }
498
499 traces = [
500 'clk',
501 ('state machines', 'closed', [
502 'fetch_pc_i_valid', 'fetch_pc_o_ready',
503 'fetch_fsm_state',
504 'fetch_insn_o_valid', 'fetch_insn_i_ready',
505 'pred_insn_i_valid', 'pred_insn_o_ready',
506 'fetch_predicate_state',
507 'pred_mask_o_valid', 'pred_mask_i_ready',
508 'issue_fsm_state',
509 'exec_insn_i_valid', 'exec_insn_o_ready',
510 'exec_fsm_state',
511 'exec_pc_o_valid', 'exec_pc_i_ready',
512 'insn_done', 'core_stop_o', 'pc_i_ok', 'pc_changed',
513 'is_last', 'dec2.no_out_vec']),
514 {'comment': 'fetch and decode'},
515 (None, 'dec', [
516 'cia[63:0]', 'nia[63:0]', 'pc[63:0]',
517 'cur_pc[63:0]', 'core_core_cia[63:0]']),
518 'raw_insn_i[31:0]',
519 'raw_opcode_in[31:0]', 'insn_type', 'dec2.dec2_exc_happened',
520 ('svp64 decoding', 'closed', [
521 'svp64_rm[23:0]', ('dec2.extra[8:0]', 'bin'),
522 'dec2.sv_rm_dec.mode', 'dec2.sv_rm_dec.predmode',
523 'dec2.sv_rm_dec.ptype_in',
524 'dec2.sv_rm_dec.dstpred[2:0]', 'dec2.sv_rm_dec.srcpred[2:0]',
525 'dstmask[63:0]', 'srcmask[63:0]',
526 'dregread[4:0]', 'dinvert',
527 'sregread[4:0]', 'sinvert',
528 'core.int.pred__addr[4:0]', 'core.int.pred__data_o[63:0]',
529 'core.int.pred__ren']),
530 ('register augmentation', 'dec', 'closed', [
531 {'comment': 'v3.0b registers'},
532 'dec2.dec_o.RT[4:0]',
533 'dec2.dec_a.RA[4:0]',
534 'dec2.dec_b.RB[4:0]',
535 ('Rdest', [
536 'dec2.o_svdec.reg_in[4:0]',
537 ('dec2.o_svdec.spec[2:0]', 'bin'),
538 'dec2.o_svdec.reg_out[6:0]']),
539 ('Rsrc1', [
540 'dec2.in1_svdec.reg_in[4:0]',
541 ('dec2.in1_svdec.spec[2:0]', 'bin'),
542 'dec2.in1_svdec.reg_out[6:0]']),
543 ('Rsrc1', [
544 'dec2.in2_svdec.reg_in[4:0]',
545 ('dec2.in2_svdec.spec[2:0]', 'bin'),
546 'dec2.in2_svdec.reg_out[6:0]']),
547 {'comment': 'SVP64 registers'},
548 'dec2.rego[6:0]', 'dec2.reg1[6:0]', 'dec2.reg2[6:0]'
549 ]),
550 {'comment': 'svp64 context'},
551 'core_core_vl[6:0]', 'core_core_maxvl[6:0]',
552 'core_core_srcstep[6:0]', 'next_srcstep[6:0]',
553 'core_core_dststep[6:0]',
554 {'comment': 'issue and execute'},
555 'core.core_core_insn_type',
556 (None, 'dec', [
557 'core_rego[6:0]', 'core_reg1[6:0]', 'core_reg2[6:0]']),
558 'issue_i', 'busy_o',
559 {'comment': 'dmi'},
560 'dbg.dmi_req_i', 'dbg.dmi_ack_o',
561 {'comment': 'instruction memory'},
562 'imem.sram.rdport.memory(0)[63:0]',
563 {'comment': 'registers'},
564 # match with soc.regfile.regfiles.IntRegs port names
565 'core.int.rp_src1.memory(0)[63:0]',
566 'core.int.rp_src1.memory(1)[63:0]',
567 'core.int.rp_src1.memory(2)[63:0]',
568 'core.int.rp_src1.memory(3)[63:0]',
569 'core.int.rp_src1.memory(4)[63:0]',
570 'core.int.rp_src1.memory(5)[63:0]',
571 'core.int.rp_src1.memory(6)[63:0]',
572 'core.int.rp_src1.memory(7)[63:0]',
573 'core.int.rp_src1.memory(9)[63:0]',
574 'core.int.rp_src1.memory(10)[63:0]',
575 'core.int.rp_src1.memory(13)[63:0]'
576 ]
577
578 # PortInterface module path varies depending on MMU option
579 if self.microwatt_mmu:
580 pi_module = 'core.ldst0'
581 else:
582 pi_module = 'core.fus.ldst0'
583
584 traces += [('ld/st port interface', {'submodule': pi_module}, [
585 'oper_r__insn_type',
586 'ldst_port0_is_ld_i',
587 'ldst_port0_is_st_i',
588 'ldst_port0_busy_o',
589 'ldst_port0_addr_i[47:0]',
590 'ldst_port0_addr_i_ok',
591 'ldst_port0_addr_ok_o',
592 'ldst_port0_exc_happened',
593 'ldst_port0_st_data_i[63:0]',
594 'ldst_port0_st_data_i_ok',
595 'ldst_port0_ld_data_o[63:0]',
596 'ldst_port0_ld_data_o_ok',
597 'exc_o_happened',
598 'cancel'
599 ])]
600
601 if self.microwatt_mmu:
602 traces += [
603 {'comment': 'microwatt_mmu'},
604 'core.fus.mmu0.alu_mmu0.illegal',
605 'core.fus.mmu0.alu_mmu0.debug0[3:0]',
606 'core.fus.mmu0.alu_mmu0.mmu.state',
607 'core.fus.mmu0.alu_mmu0.mmu.pid[31:0]',
608 'core.fus.mmu0.alu_mmu0.mmu.prtbl[63:0]',
609 {'comment': 'wishbone_memory'},
610 'core.fus.mmu0.alu_mmu0.dcache.stb',
611 'core.fus.mmu0.alu_mmu0.dcache.cyc',
612 'core.fus.mmu0.alu_mmu0.dcache.we',
613 'core.fus.mmu0.alu_mmu0.dcache.ack',
614 'core.fus.mmu0.alu_mmu0.dcache.stall,'
615 ]
616
617 write_gtkw("issuer_simulator.gtkw",
618 "issuer_simulator.vcd",
619 traces, styles, module='top.issuer')
620
621 # add run of instructions
622 sim.add_sync_process(process)
623
624 # optionally, if a wishbone-based ROM is passed in, run that as an
625 # extra emulated process
626 if self.rom is not None:
627 dcache = core.fus.fus["mmu0"].alu.dcache
628 default_mem = self.rom
629 sim.add_sync_process(wrap(wb_get(dcache, default_mem, "DCACHE")))
630
631 with sim.write_vcd("issuer_simulator.vcd"):
632 sim.run()