begin experimental ariane mmu.sv conversion
[soc.git] / TLB / src / ariane / ptw.py
index c8cb8d3495a6fe7348a2f971006ec4b4f3de908f..c19a6b101b6adf74ea3f6d94a344927fdf737433 100644 (file)
 
 /* verilator lint_off WIDTH */
 import ariane_pkg::*;
+
+see linux kernel source:
+
+* "arch/riscv/include/asm/page.h"
+* "arch/riscv/include/asm/mmu_context.h"
+* "arch/riscv/Kconfig" (CONFIG_PAGE_OFFSET)
+
 """
 
 from nmigen import Const, Signal, Cat, Module
@@ -23,11 +30,14 @@ from nmigen.hdl.ast import ArrayProxy
 from nmigen.cli import verilog, rtlil
 from math import log2
 
+
 DCACHE_SET_ASSOC = 8
 CONFIG_L1D_SIZE =  32*1024
 DCACHE_INDEX_WIDTH = int(log2(CONFIG_L1D_SIZE / DCACHE_SET_ASSOC))
 DCACHE_TAG_WIDTH = 56 - DCACHE_INDEX_WIDTH
 
+ASID_WIDTH = 8
+
 
 class DCacheReqI:
     def __init__(self):
@@ -52,14 +62,12 @@ class DCacheReqO:
     def __init__(self):
         self.data_gnt = Signal()
         self.data_rvalid = Signal()
-        self.data_rdata = Signal(64)
+        self.data_rdata = Signal(64) # actually in PTE object format
 
     def ports(self):
         return [ self.data_gnt, self.data_rvalid, self.data_rdata]
 
 
-ASID_WIDTH = 8
-
 class PTE: #(RecordObject):
     def __init__(self):
         self.reserved = Signal(10)
@@ -112,6 +120,7 @@ class TLBUpdate:
         return [self.valid, self.is_2M, self.is_1G, self.vpn, self.asid] + \
                 self.content.ports()
 
+
 # SV39 defines three levels of page tables
 LVL1 = Const(0, 2) # defined to 0 so that ptw_lvl default-resets to LVL1
 LVL2 = Const(1, 2)
@@ -123,7 +132,7 @@ class PTW:
         self.flush_i = Signal() # flush everything, we need to do this because
         # actually everything we do is speculative at this stage
         # e.g.: there could be a CSR instruction that changes everything
-        self.ptw_active_o = Signal(reset=1)
+        self.ptw_active_o = Signal(reset=1)    # active if not IDLE
         self.walking_instr_o = Signal()        # set when walking for TLB
         self.ptw_error_o = Signal()            # set when an error occurred
         self.enable_translation_i = Signal()   # CSRs indicate to enable SV39
@@ -178,13 +187,19 @@ class PTW:
         data_rvalid = Signal()
         data_rdata = Signal(64)
 
+        # NOTE: pte decodes the incoming bit-field (data_rdata). data_rdata
+        # is spec'd in 64-bit binary-format: better to spec as Record?
         pte = PTE()
         m.d.comb += pte.flatten().eq(data_rdata)
 
-        ptw_lvl = Signal(2) # default=0=LVL1
-
-        # used to continue checking flush conditions
-        wait_grant = Signal()
+        # SV39 defines three levels of page tables
+        ptw_lvl = Signal(2) # default=0=LVL1 on reset (see above)
+        ptw_lvl1 = Signal()
+        ptw_lvl2 = Signal()
+        ptw_lvl3 = Signal()
+        m.d.comb += [ptw_lvl1.eq(ptw_lvl == LVL1),
+                     ptw_lvl2.eq(ptw_lvl == LVL2),
+                     ptw_lvl3.eq(ptw_lvl == LVL3)]
 
         # is this an instruction page table walk?
         is_instr_ptw = Signal()
@@ -208,19 +223,19 @@ class PTW:
             self.req_port_o.address_index.eq(ptw_pptr[0:DCACHE_INDEX_WIDTH]),
             self.req_port_o.address_tag.eq(ptw_pptr[DCACHE_INDEX_WIDTH:end]),
             # we are never going to kill this request
-            self.req_port_o.kill_req.eq(0),
+            self.req_port_o.kill_req.eq(0),              # XXX assign comb?
             # we are never going to write with the HPTW
-            self.req_port_o.data_wdata.eq(Const(0, 64)),
+            self.req_port_o.data_wdata.eq(Const(0, 64)), # XXX assign comb?
             # -----------
             # TLB Update
             # -----------
             self.itlb_update_o.vpn.eq(vaddr[12:39]),
             self.dtlb_update_o.vpn.eq(vaddr[12:39]),
             # update the correct page table level
-            self.itlb_update_o.is_2M.eq(ptw_lvl == LVL2),
-            self.itlb_update_o.is_1G.eq(ptw_lvl == LVL1),
-            self.dtlb_update_o.is_2M.eq(ptw_lvl == LVL2),
-            self.dtlb_update_o.is_1G.eq(ptw_lvl == LVL1),
+            self.itlb_update_o.is_2M.eq(ptw_lvl2),
+            self.itlb_update_o.is_1G.eq(ptw_lvl1),
+            self.dtlb_update_o.is_2M.eq(ptw_lvl2),
+            self.dtlb_update_o.is_1G.eq(ptw_lvl1),
             # output the correct ASID
             self.itlb_update_o.asid.eq(tlb_update_asid),
             self.dtlb_update_o.asid.eq(tlb_update_asid),
@@ -230,10 +245,9 @@ class PTW:
             self.dtlb_update_o.content.eq(pte),
             self.dtlb_update_o.content.g.eq(global_mapping),
 
-        ]
-        m.d.comb += [
             self.req_port_o.tag_valid.eq(tag_valid),
         ]
+
         #-------------------
         # Page table walker
         #-------------------
@@ -280,157 +294,25 @@ class PTW:
             self.dtlb_miss_o.eq(0),
         ]
 
+        # ------------
+        # State Machine
+        # ------------
+
         with m.FSM() as fsm:
 
             with m.State("IDLE"):
-                # by default we start with the top-most page table
-                m.d.sync += [is_instr_ptw.eq(0),
-                             ptw_lvl.eq(LVL1),
-                             global_mapping.eq(0),
-                             self.ptw_active_o.eq(1),
-                            ]
-                # we got an ITLB miss?
-                with m.If(self.enable_translation_i & self.itlb_access_i & \
-                          ~self.itlb_hit_i & ~self.dtlb_access_i):
-                    pptr = Cat(Const(0, 3), self.itlb_vaddr_i[30:39], self.satp_ppn_i)
-                    m.d.sync += [ptw_pptr.eq(pptr),
-                                is_instr_ptw.eq(1),
-                                 vaddr.eq(self.itlb_vaddr_i),
-                                tlb_update_asid.eq(self.asid_i),
-                                ]
-                    m.d.comb += [self.itlb_miss_o.eq(1)]
-                    self.set_grant_state(m)
-                # we got a DTLB miss?
-                with m.Elif(self.en_ld_st_translation_i & self.dtlb_access_i & \
-                            ~self.dtlb_hit_i):
-                    pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[30:39], self.satp_ppn_i)
-                    m.d.sync += [ptw_pptr.eq(pptr),
-                                 vaddr.eq(self.dtlb_vaddr_i),
-                                 tlb_update_asid.eq(self.asid_i),
-                                ]
-                    m.d.comb += [ self.dtlb_miss_o.eq(1)]
-                    self.set_grant_state(m)
+                self.idle(m, is_instr_ptw, ptw_lvl, global_mapping,
+                          ptw_pptr, vaddr, tlb_update_asid)
 
             with m.State("WAIT_GRANT"):
-                # send a request out
-                m.d.comb += self.req_port_o.data_req.eq(1)
-                # wait for the WAIT_GRANT
-                with m.If(self.req_port_i.data_gnt):
-                    # send the tag valid signal one cycle later
-                    m.d.sync += tag_valid.eq(1)
-                    # should we have flushed before we got an rvalid,
-                    # wait for it until going back to IDLE
-                    with m.If(self.flush_i):
-                        with m.If (~data_rvalid):
-                            m.next = "WAIT_RVALID"
-                        with m.Else():
-                            m.next = "WAIT_RVALID"
-                    with m.Else():
-                        m.next = "PTE_LOOKUP"
+                self.grant(m, tag_valid, data_rvalid)
 
             with m.State("PTE_LOOKUP"):
                 # we wait for the valid signal
                 with m.If(data_rvalid):
-
-                    # check if the global mapping bit is set
-                    with m.If (pte.g):
-                        m.d.sync += global_mapping.eq(1)
-
-                    # -------------
-                    # Invalid PTE
-                    # -------------
-                    # If pte.v = 0, or if pte.r = 0 and pte.w = 1,
-                    # stop and raise a page-fault exception.
-                    with m.If (~pte.v | (~pte.r & pte.w)):
-                        m.next = "PROPAGATE_ERROR"
-                    # -----------
-                    # Valid PTE
-                    # -----------
-                    with m.Else():
-                        m.next = "IDLE"
-                        # it is a valid PTE
-                        # if pte.r = 1 or pte.x = 1 it is a valid PTE
-                        with m.If (pte.r | pte.x):
-                            # Valid translation found (either 1G, 2M or 4K entry)
-                            with m.If(is_instr_ptw):
-                                # ------------
-                                # Update ITLB
-                                # ------------
-                                # If page is not executable, we can
-                                # directly raise an error. This
-                                # doesn't put a useless entry into
-                                # the TLB. The same idea applies
-                                # to the access flag since we let
-                                # the access flag be managed by SW.
-                                with m.If (~pte.x | ~pte.a):
-                                    m.next = "IDLE"
-                                with m.Else():
-                                    m.d.comb += self.itlb_update_o.valid.eq(1)
-
-                            with m.Else():
-                                # ------------
-                                # Update DTLB
-                                # ------------
-                                # Check if the access flag has been set,
-                                # otherwise throw a page-fault
-                                # and let the software handle those bits.
-                                # If page is not readable (there are
-                                # no write-only pages)
-                                # we can directly raise an error. This
-                                # doesn't put a useless
-                                # entry into the TLB.
-                                with m.If(pte.a & (pte.r | (pte.x & self.mxr_i))):
-                                    m.d.comb += self.dtlb_update_o.valid.eq(1)
-                                with m.Else():
-                                    m.next = "PROPAGATE_ERROR"
-                                # Request is a store: perform some
-                                # additional checks
-                                # If the request was a store and the
-                                # page is not write-able, raise an error
-                                # the same applies if the dirty flag is not set
-                                with m.If (self.lsu_is_store_i & (~pte.w | ~pte.d)):
-                                    m.d.comb += self.dtlb_update_o.valid.eq(0)
-                                    m.next = "PROPAGATE_ERROR"
-
-                            # check if the ppn is correctly aligned: Case (6)
-                            l1err = Signal()
-                            l2err = Signal()
-                            m.d.comb += [l2err.eq((ptw_lvl == LVL2) & \
-                                           pte.ppn[0:9] != Const(0, 9)),
-                                         l1err.eq((ptw_lvl == LVL1) & \
-                                           pte.ppn[0:18] != Const(0, 18))
-                                        ]
-                            with m.If(l1err | l2err):
-                                m.next = "PROPAGATE_ERROR"
-                                m.d.comb += [self.dtlb_update_o.valid.eq(0),
-                                             self.itlb_update_o.valid.eq(0)]
-
-                        # this is a pointer to the next TLB level
-                        with m.Else():
-                            # pointer to next level of page table
-                            with m.If (ptw_lvl == LVL1):
-                                # we are in the second level now
-                                pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[21:30],
-                                           pte.ppn)
-                                m.d.sync += [ptw_pptr.eq(pptr),
-                                            ptw_lvl.eq(LVL2)]
-                            with m.If(ptw_lvl == LVL2):
-                                # here we received a pointer to the third level
-                                pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[12:21],
-                                           pte.ppn)
-                                m.d.sync += [ptw_pptr.eq(pptr),
-                                            ptw_lvl.eq(LVL3)
-                                            ]
-                            self.set_grant_state(m)
-
-                            with m.If (ptw_lvl == LVL3):
-                                # Should already be the last level
-                                # page table => Error
-                                m.d.sync += ptw_lvl.eq(LVL3)
-                                m.d.comb += wait_grant.eq(0)
-                                m.next = "PROPAGATE_ERROR"
-                # we've got a data WAIT_GRANT so tell the
-                # cache that the tag is valid
+                    self.lookup(m, pte, ptw_lvl, ptw_lvl1, ptw_lvl2, ptw_lvl3,
+                                data_rvalid, global_mapping,
+                                is_instr_ptw, ptw_pptr)
 
             # Propagate error to MMU/LSU
             with m.State("PROPAGATE_ERROR"):
@@ -459,6 +341,168 @@ class PTW:
         with m.Else():
             m.next = "WAIT_GRANT"
 
+    def idle(self, m, is_instr_ptw, ptw_lvl, global_mapping,
+                          ptw_pptr, vaddr, tlb_update_asid):
+        # by default we start with the top-most page table
+        m.d.sync += [is_instr_ptw.eq(0),
+                     ptw_lvl.eq(LVL1),
+                     global_mapping.eq(0),
+                     self.ptw_active_o.eq(0), # deactive (IDLE)
+                    ]
+        # work out itlb/dtlb miss
+        m.d.comb += self.itlb_miss_o.eq(self.enable_translation_i & \
+                                 self.itlb_access_i & \
+                                 ~self.itlb_hit_i & \
+                                 ~self.dtlb_access_i)
+        m.d.comb += self.dtlb_miss_o.eq(self.en_ld_st_translation_i & \
+                                        self.dtlb_access_i & \
+                                        ~self.dtlb_hit_i)
+        # we got an ITLB miss?
+        with m.If(self.itlb_miss_o):
+            pptr = Cat(Const(0, 3), self.itlb_vaddr_i[30:39],
+                       self.satp_ppn_i)
+            m.d.sync += [ptw_pptr.eq(pptr),
+                         is_instr_ptw.eq(1),
+                         vaddr.eq(self.itlb_vaddr_i),
+                         tlb_update_asid.eq(self.asid_i),
+                        ]
+            self.set_grant_state(m)
+
+        # we got a DTLB miss?
+        with m.Elif(self.dtlb_miss_o):
+            pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[30:39],
+                       self.satp_ppn_i)
+            m.d.sync += [ptw_pptr.eq(pptr),
+                         vaddr.eq(self.dtlb_vaddr_i),
+                         tlb_update_asid.eq(self.asid_i),
+                        ]
+            self.set_grant_state(m)
+
+    def grant(self, m, tag_valid, data_rvalid):
+        # we've got a data WAIT_GRANT so tell the
+        # cache that the tag is valid
+
+        # send a request out
+        m.d.comb += self.req_port_o.data_req.eq(1)
+        # wait for the WAIT_GRANT
+        with m.If(self.req_port_i.data_gnt):
+            # send the tag valid signal one cycle later
+            m.d.sync += tag_valid.eq(1)
+            # should we have flushed before we got an rvalid,
+            # wait for it until going back to IDLE
+            with m.If(self.flush_i):
+                with m.If (~data_rvalid):
+                    m.next = "WAIT_RVALID"
+                with m.Else():
+                    m.next = "IDLE"
+            with m.Else():
+                m.next = "PTE_LOOKUP"
+
+    def lookup(self, m, pte, ptw_lvl, ptw_lvl1, ptw_lvl2, ptw_lvl3,
+                            data_rvalid, global_mapping,
+                            is_instr_ptw, ptw_pptr):
+        # temporaries
+        pte_rx = Signal(reset_less=True)
+        pte_exe = Signal(reset_less=True)
+        pte_inv = Signal(reset_less=True)
+        pte_a = Signal(reset_less=True)
+        st_wd = Signal(reset_less=True)
+        m.d.comb += [pte_rx.eq(pte.r | pte.x),
+                    pte_exe.eq(~pte.x | ~pte.a),
+                    pte_inv.eq(~pte.v | (~pte.r & pte.w)),
+                    pte_a.eq(pte.a & (pte.r | (pte.x & self.mxr_i))),
+                    st_wd.eq(self.lsu_is_store_i & (~pte.w | ~pte.d))]
+
+        l1err = Signal(reset_less=True)
+        l2err = Signal(reset_less=True)
+        m.d.comb += [l2err.eq((ptw_lvl2) & pte.ppn[0:9] != Const(0, 9)),
+                     l1err.eq((ptw_lvl1) & pte.ppn[0:18] != Const(0, 18)) ]
+
+        # check if the global mapping bit is set
+        with m.If (pte.g):
+            m.d.sync += global_mapping.eq(1)
+
+        m.next = "IDLE"
+
+        # -------------
+        # Invalid PTE
+        # -------------
+        # If pte.v = 0, or if pte.r = 0 and pte.w = 1,
+        # stop and raise a page-fault exception.
+        with m.If (pte_inv):
+            m.next = "PROPAGATE_ERROR"
+
+        # -----------
+        # Valid PTE
+        # -----------
+
+        # it is a valid PTE
+        # if pte.r = 1 or pte.x = 1 it is a valid PTE
+        with m.Elif (pte_rx):
+            # Valid translation found (either 1G, 2M or 4K)
+            with m.If(is_instr_ptw):
+                # ------------
+                # Update ITLB
+                # ------------
+                # If page not executable, we can directly raise error.
+                # This doesn't put a useless entry into the TLB.
+                # The same idea applies to the access flag since we let
+                # the access flag be managed by SW.
+                with m.If (pte_exe):
+                    m.next = "IDLE"
+                with m.Else():
+                    m.d.comb += self.itlb_update_o.valid.eq(1)
+
+            with m.Else():
+                # ------------
+                # Update DTLB
+                # ------------
+                # Check if the access flag has been set, otherwise
+                # throw page-fault and let software handle those bits.
+                # If page not readable (there are no write-only pages)
+                # directly raise an error. This doesn't put a useless
+                # entry into the TLB.
+                with m.If(pte_a):
+                    m.d.comb += self.dtlb_update_o.valid.eq(1)
+                with m.Else():
+                    m.next = "PROPAGATE_ERROR"
+                # Request is a store: perform additional checks
+                # If the request was a store and the page not
+                # write-able, raise an error
+                # the same applies if the dirty flag is not set
+                with m.If (st_wd):
+                    m.d.comb += self.dtlb_update_o.valid.eq(0)
+                    m.next = "PROPAGATE_ERROR"
+
+            # check if the ppn is correctly aligned: Case (6)
+            with m.If(l1err | l2err):
+                m.next = "PROPAGATE_ERROR"
+                m.d.comb += [self.dtlb_update_o.valid.eq(0),
+                             self.itlb_update_o.valid.eq(0)]
+
+        # this is a pointer to the next TLB level
+        with m.Else():
+            # pointer to next level of page table
+            with m.If (ptw_lvl1):
+                # we are in the second level now
+                pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[21:30], pte.ppn)
+                m.d.sync += [ptw_pptr.eq(pptr),
+                             ptw_lvl.eq(LVL2)
+                            ]
+            with m.If(ptw_lvl2):
+                # here we received a pointer to the third level
+                pptr = Cat(Const(0, 3), self.dtlb_vaddr_i[12:21], pte.ppn)
+                m.d.sync += [ptw_pptr.eq(pptr),
+                             ptw_lvl.eq(LVL3)
+                            ]
+            self.set_grant_state(m)
+
+            with m.If (ptw_lvl3):
+                # Should already be the last level
+                # page table => Error
+                m.d.sync += ptw_lvl.eq(LVL3)
+                m.next = "PROPAGATE_ERROR"
+
 
 if __name__ == '__main__':
     ptw = PTW()