make scalar EXTRA2 encoding match between tables and algorithms
[libreriscv.git] / index.mdwn
index d9b72b63c18dc3c9643db4e5bef5589a7f3f13d8..fc0637cdd41aba6f369a4f910b983dabe1f52cca 100644 (file)
@@ -36,7 +36,6 @@ providing our own Free/Libre drivers. Easy as 1, 2, 3!
 <p>A few names come to mind:</p>
 
 <ul>
-<li><a href="https://www.raspberrypi.org">Raspberry Pi</a></li>
 <li><a href="https://www.arduino.cc">Arduino</a></li>
 <li><a href="https://www.raptorcs.com">Raptor Computing Systems</a></li>
 <li><a href="https://www.bitcraze.io">CrazyFlie</a></li>
@@ -50,12 +49,14 @@ providing our own Free/Libre drivers. Easy as 1, 2, 3!
 
 # Our Team
 
-We are proud of our talented and diverse [team](about_us).
+We are proud of our talented and diverse [team](about_us)
+located all across the world: UK US Canada Germany Greece India
+Kuwait.
 
 # Our sponsors and partners
 
 * [NLnet](https://nlnet.nl) who have very kindly sponsored us with
-  over EUR 350,000 in various separate grants.
+  over EUR 550,000 in various separate grants.
 * [NGI POINTER](https://www.ngi.eu/ngi-projects/ngi-pointer/) who have
   sponsored us with a EUR 200,000 Horizon 2020 Grant.
 * [Mythic Beasts](http://mythic-beasts.com) who don't overcommit on
@@ -70,8 +71,6 @@ We are proud of our talented and diverse [team](about_us).
   interface (more to come)
 * [MarketNext](http://marketnext.org) is helping us connect to developer
   resources in Emerging markets, for completion of NLNet-funded tasks.
-  See the upcoming [Hackathon](https://www.youtube.com/embed/Px6eakWja3Q"),
-  deadline May 15th
 * The [[PowerPC Notebook]] Project
 * [RED Semiconductor](http://redsemiconductor.com) is the commercial realisation of Libre-SOC technology that respects and actively supports Libre principles in its business objectives.
 * [[fed4fire]] for supercomputer access for verilator simulations,
@@ -97,7 +96,10 @@ just get in touch on the list, there is plenty to do.
   introduce yourself (people will happily say "hello" back) and
   the Libera IRC channel
   (#libre-soc at irc.libera.chat port 6697, see
-https://libera.chat/guides/connect), [logs here](https://libre-soc.org/irclog/latest.log.html).
+https://libera.chat/guides/connect),
+  it's also accessible via Libera.Chat's Matrix Bridge
+  (`#libre-soc:libera.chat`)
+  [logs here](https://libre-soc.org/irclog/latest.log.html).
   Read through
   [recent posts](http://lists.libre-soc.org/pipermail/libre-soc-dev/)
   and the [[charter]], ask questions if you have any and let everyone know,
@@ -124,42 +126,15 @@ Also note that you can edit this wiki.  You can experiment in the [[Sandbox]].
 
 ## Quick peek at the code
 
-Here is an example process of how to play with the soc code.  The last
-step you will have needed to install yosys:
-
-    pip3 install virtualenv requests
-    mkdir ~/.virtualenvs && cd ~/.virtualenvs
-    python3 -m venv libresoc
-    source ~/.virtualenvs/libresoc/bin/activate
-    
-    cd ~; mkdir libresoc; cd libresoc
-    git clone https://git.libre-soc.org/git/nmigen.git
-    git clone https://git.libre-soc.org/git/nmigen-soc.git
-    git clone https://git.libre-soc.org/git/nmutil.git
-    git clone https://git.libre-soc.org/git/openpower-isa.git
-    git clone https://git.libre-soc.org/git/c4m-jtag.git
-    git clone https://git.libre-soc.org/git/ieee754fpu.git
-    git clone https://git.libre-soc.org/git/soc.git
-    
-    cd nmigen; python setup.py develop; cd ..
-    cd nmigen-soc; python setup.py develop; cd ..
-    cd c4m-jtag; python setup.py develop; cd ..
-    cd nmutil; make develop; cd ..
-    cd openpower-isa; make develop; cd ..
-    cd ieee754fpu; make develop; cd ..
-    cd soc; make gitupdate; make develop
-    
-    python3 src/soc/decoder/power_decoder.py
-    yosys -p "read_ilang decoder.il; show dec31" 
-
 The full install process may be automated using scripts found
 here: <https://git.libre-soc.org/?p=dev-env-setup.git;a=summary>
 which you can clone with:
 
     git clone https://git.libre-soc.org/git/dev-env-setup.git
 
-A convenient page which gives step-by-step instructions is: 
-[[HDL_workflow/devscripts]]
+A convenient page which gives easy step-by-step instructions is: 
+[[HDL_workflow/devscripts]], and you can get started with a
+[[docs/firststeps]] tutorial.
 
 ## How can I learn?