fosdem2024_bigint: improve sv.adde diagram
[libreriscv.git] / sandbox.mdwn
index 4b0ddbc8bf6e5e99970fddb857d699291f0d79b2..4e8bb3cc781e5112a61b0355ef34f736354bd890 100644 (file)
@@ -1,56 +1,92 @@
-<div class="card text-white bg-primary mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Primary card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-secondary mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Secondary card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-success mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Success card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-danger mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Danger card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-warning mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Warning card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-info mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Info card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card bg-light mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Light card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
-<div class="card text-white bg-dark mb-3" style="max-width: 20rem;">
-  <div class="card-header">Header</div>
-  <div class="card-body">
-    <h4 class="card-title">Dark card title</h4>
-    <p class="card-text">Some quick example text to build on the card title and make up the bulk of the card's content.</p>
-  </div>
-</div>
+This is the SandBox, a page anyone can edit to learn how to use the wiki.
+
+----
+
+Some codebox:
+
+```python
+from enum import Enum, unique
+from nmigen import *
+
+@unique
+class selects(Enum):
+    sel_A = 0
+    sel_B = 1
+    sel_C = 2
+    sel_D = 3
+    sel_E = 4
+    sel_F = 20
+
+class Top(Elaboratable):
+    def __init__(self):
+        self.in_ = Signal(selects)
+        self.out = Signal()
+    
+    def elaborate(self, platform):
+        m = Module()
+        m.d.comb += self.out.eq(self.in_)
+
+        with m.If(self.in_ == selects.sel_F):
+            m.d.comb += self.out.eq(1)
+        with m.Else():
+            m.d.comb += self.out.eq(0)
+
+        return m
+
+from nmigen.back import verilog
+f = open("top.v", "w")
+top = Top()
+ports = [top.in_, top.out]
+f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports))
+```
+
+# experiment with single bullet
+
+heading
+
+* hello
+* bullet2
+```
+     test indentation
+     test again
+```
+
+heading again
+
+1. bullet now two blank lines after
+
+
+     indent after 2 blank lines
+     more indent
+
+
+Here's a paragraph.
+
+Here's another one with *emphasised* text.
+
+# Header
+
+## Subheader
+
+> This is a blockquote.
+>
+> This is the first level of quoting.
+>
+> > This is nested blockquote.
+>
+> Back to the first level.
+
+Numbered list 
+
+1. First item.
+1. Another.
+1. And another..
+
+Bulleted list
+
+* *item*
+* item
+
+<iframe src="https://git.libre-soc.org/?p=crowdsupply.git;a=blob_plain;f=Makefile;hb=69576cbd0ca58e98ebbf525d827b377fe7ad04fc"></iframe>
+
+[[ikiwiki/WikiLink]]