fosdem2024_bigint: remove test.dia
[libreriscv.git] / sandbox.mdwn
index aea086bf2a37e797d30337a02cb415e5932e8956..4e8bb3cc781e5112a61b0355ef34f736354bd890 100644 (file)
@@ -1,35 +1,64 @@
 This is the SandBox, a page anyone can edit to learn how to use the wiki.
 
+----
 
-<nav class="navbar navbar-expand-lg navbar-dark bg-primary">
-  <a class="navbar-brand" href="#">Navbar</a>
-  <button class="navbar-toggler" type="button" data-toggle="collapse" data-target="#navbarColor01" aria-controls="navbarColor01" aria-expanded="false" aria-label="Toggle navigation">
-    <span class="navbar-toggler-icon"></span>
-  </button>
-
-  <div class="collapse navbar-collapse" id="navbarColor01">
-    <ul class="navbar-nav mr-auto">
-      <li class="nav-item active">
-        <a class="nav-link" href="#">Home <span class="sr-only">(current)</span></a>
-      </li>
-      <li class="nav-item">
-        <a class="nav-link" href="#">Features</a>
-      </li>
-      <li class="nav-item">
-        <a class="nav-link" href="#">Pricing</a>
-      </li>
-      <li class="nav-item">
-        <a class="nav-link" href="#">About</a>
-      </li>
-    </ul>
-    <form class="form-inline my-2 my-lg-0">
-      <input class="form-control mr-sm-2" type="text" placeholder="Search">
-      <button class="btn btn-secondary my-2 my-sm-0" type="submit">Search</button>
-    </form>
-  </div>
-</nav>
+Some codebox:
+
+```python
+from enum import Enum, unique
+from nmigen import *
+
+@unique
+class selects(Enum):
+    sel_A = 0
+    sel_B = 1
+    sel_C = 2
+    sel_D = 3
+    sel_E = 4
+    sel_F = 20
+
+class Top(Elaboratable):
+    def __init__(self):
+        self.in_ = Signal(selects)
+        self.out = Signal()
+    
+    def elaborate(self, platform):
+        m = Module()
+        m.d.comb += self.out.eq(self.in_)
+
+        with m.If(self.in_ == selects.sel_F):
+            m.d.comb += self.out.eq(1)
+        with m.Else():
+            m.d.comb += self.out.eq(0)
+
+        return m
+
+from nmigen.back import verilog
+f = open("top.v", "w")
+top = Top()
+ports = [top.in_, top.out]
+f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports))
+```
+
+# experiment with single bullet
+
+heading
+
+* hello
+* bullet2
+```
+     test indentation
+     test again
+```
+
+heading again
+
+1. bullet now two blank lines after
+
+
+     indent after 2 blank lines
+     more indent
 
-----
 
 Here's a paragraph.
 
@@ -58,4 +87,6 @@ Bulleted list
 * *item*
 * item
 
+<iframe src="https://git.libre-soc.org/?p=crowdsupply.git;a=blob_plain;f=Makefile;hb=69576cbd0ca58e98ebbf525d827b377fe7ad04fc"></iframe>
+
 [[ikiwiki/WikiLink]]