bug 1048, ls011: Add Fixed Store Shifted Post-Update section
[libreriscv.git] / sandbox.mdwn
index b595e268f1b11bb08fd880f24049d11c8618b4c0..4e8bb3cc781e5112a61b0355ef34f736354bd890 100644 (file)
@@ -1,9 +1,92 @@
-<div class="jumbotron">
-  <h1 class="display-3">Hello, world!</h1>
-  <p class="lead">This is a simple hero unit, a simple jumbotron-style component for calling extra attention to featured content or information.</p>
-  <hr class="my-4">
-  <p>It uses utility classes for typography and spacing to space content out within the larger container.</p>
-  <p class="lead">
-    <a class="btn btn-primary btn-lg" href="#" role="button">Learn more</a>
-  </p>
-</div>
+This is the SandBox, a page anyone can edit to learn how to use the wiki.
+
+----
+
+Some codebox:
+
+```python
+from enum import Enum, unique
+from nmigen import *
+
+@unique
+class selects(Enum):
+    sel_A = 0
+    sel_B = 1
+    sel_C = 2
+    sel_D = 3
+    sel_E = 4
+    sel_F = 20
+
+class Top(Elaboratable):
+    def __init__(self):
+        self.in_ = Signal(selects)
+        self.out = Signal()
+    
+    def elaborate(self, platform):
+        m = Module()
+        m.d.comb += self.out.eq(self.in_)
+
+        with m.If(self.in_ == selects.sel_F):
+            m.d.comb += self.out.eq(1)
+        with m.Else():
+            m.d.comb += self.out.eq(0)
+
+        return m
+
+from nmigen.back import verilog
+f = open("top.v", "w")
+top = Top()
+ports = [top.in_, top.out]
+f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports))
+```
+
+# experiment with single bullet
+
+heading
+
+* hello
+* bullet2
+```
+     test indentation
+     test again
+```
+
+heading again
+
+1. bullet now two blank lines after
+
+
+     indent after 2 blank lines
+     more indent
+
+
+Here's a paragraph.
+
+Here's another one with *emphasised* text.
+
+# Header
+
+## Subheader
+
+> This is a blockquote.
+>
+> This is the first level of quoting.
+>
+> > This is nested blockquote.
+>
+> Back to the first level.
+
+Numbered list 
+
+1. First item.
+1. Another.
+1. And another..
+
+Bulleted list
+
+* *item*
+* item
+
+<iframe src="https://git.libre-soc.org/?p=crowdsupply.git;a=blob_plain;f=Makefile;hb=69576cbd0ca58e98ebbf525d827b377fe7ad04fc"></iframe>
+
+[[ikiwiki/WikiLink]]