latch opcode on instruction issue
[soc.git] / src / experiment / compalu.py
index 446c7e0f997a38295b14cd87f264645edea0673e..f517f5ccc7ac63b74fd8f802ee333272bba29251 100644 (file)
@@ -122,7 +122,7 @@ class ComputationUnitNoDelay(Elaboratable):
         #    m.d.comb += self.alu.op.eq(self.oper_i)
 
         # create a latch/register for the operand
-        latchregister(m, self.oper_i, self.alu.op, opc_l.qn)
+        latchregister(m, self.oper_i, self.alu.op, self.issue_i)
 
         # and one for the output from the ALU
         data_r = Signal(self.rwid, reset_less=True) # Dest register