wire assignments
[sv2nmigen.git] / svparse.py
index 9822485712d2ef24ce3671c733c0aedcfec34b44..2c66082d4168fbf00cf815c5da94dba3d82ccdcf 100644 (file)
@@ -3,9 +3,10 @@ import sys
 import lexor
 import parse_sv
 import absyn
+import pypreproc
 
 from ply import *
-import os 
+import os
 
 if __name__ == '__main__':
     fname = sys.argv[1]
@@ -13,7 +14,9 @@ if __name__ == '__main__':
     print(outputfn)
     with open(fname) as f:
         data = f.read()
+        preproc = pypreproc.Preprocessor()
+        data = preproc.removeComments(data)
         parse_sv.absyn = absyn.Absyn(outputfn)
         yacc.parse(data, debug=parse_sv.yacc2_debug)
         print("No Error")
-        parse_sv.absyn.appendComments(data)
+        parse_sv.absyn.appendComments(preproc.insertDocstrings(data))